Soft Error Reliability Evaluation of Nanoscale Logic Circuits in the Presence of Multiple Transient Faults

Radiation-induced single transient faults (STFs) are expected to evolve into multiple transient faults (MTFs) at nanoscale CMOS technology nodes. For this reason, the reliability evaluation of logic circuits in the presence of MTFs is becoming an important aspect of the design process of deep submicron and nanoscale systems. However, an accurate evaluation of the reliability of large-scale and very large-scale circuits is both very complex and time-consuming. Accordingly, this paper presents a novel soft error reliability calculation approach for logic circuits based on a probability distribution model. The correctness or incorrectness of individual logic elements are regarded as random events obeying Bernoulli distribution. Subsequently, logic element conversion-based fault simulation experiments are conducted to analyze the logical masking effects of the circuit when one logic element fails or when two elements fail simultaneously. On this basis, the reliability boundaries of the logic circuits can efficiently be calculated using the proposed probability model and fault simulation results. The proposed solution can obtain an accurate reliability range through single fault and double faults simulations with small sample sizes, and also scales well with the variation of the error rate of the circuit element. To validate the proposed approach, we have calculated the reliability boundaries of ISCAS’85, ISCAS’89, and ITC’99 benchmark circuits. Statistical analysis and experimental results demonstrate that our method is effective and scalable, while also maintaining sufficiently close accuracy.

[1]  Hao Chen,et al.  Reliability evaluation of logic circuits using probabilistic gate models , 2011, Microelectron. Reliab..

[2]  Cecilia Metra,et al.  Multiple transient faults in logic: an issue for next generation ICs? , 2005, 20th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems (DFT'05).

[3]  Frédéric Barbier,et al.  ESD sensitivity investigation on a wide range of high density embedded capacitors , 2008, Microelectron. Reliab..

[4]  Fei Yu,et al.  A 1 V, 0.53 ns, 59 μW Current Comparator Using Standard 0.18 μm CMOS Technology , 2020, Wirel. Pers. Commun..

[5]  Fei Yu,et al.  Single Event Transient Propagation Probabilities Analysis for Nanometer CMOS Circuits , 2019, J. Electron. Test..

[6]  Yan Qi,et al.  Markov chains and probabilistic computation-a general framework for multiplexed nanoelectronic systems , 2005, IEEE Transactions on Nanotechnology.

[7]  P. Roche,et al.  Heavy Ion Testing and 3-D Simulations of Multiple Cell Upset in 65 nm Standard SRAMs , 2008, IEEE Transactions on Nuclear Science.

[8]  Anirban Sengupta,et al.  Integrating physical level design and high level synthesis for simultaneous multi-cycle transient and multiple transient fault resiliency of application specific datapath processors , 2016, Microelectron. Reliab..

[9]  F. Brglez,et al.  A neutral netlist of 10 combinational benchmark circuits and a target translator in FORTRAN , 1985 .

[10]  Spyros Tragoudas,et al.  Accurate calculation of SET propagation probability for hardening , 2012, 2012 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT).

[11]  Lirida A. B. Naviner,et al.  Efficient computation of combinational circuits reliability based on probabilistic transfer matrix , 2014, 2014 IEEE International Conference on IC Design & Technology.

[12]  Mahdi Fazeli,et al.  Soft error rate estimation for Combinational Logic in Presence of Single Event Multiple Transients , 2014, J. Circuits Syst. Comput..

[13]  Shanshan Liu,et al.  A Layout-Based Soft Error Vulnerability Estimation Approach for Combinational Circuits Considering Single Event Multiple Transients (SEMTs) , 2019, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[14]  Kewal K. Saluja,et al.  Gate input reconfiguration for combating soft errors in combinational circuits , 2010, 2010 International Conference on Dependable Systems and Networks Workshops (DSN-W).

[15]  M. Nicolaidis,et al.  Design for soft error mitigation , 2005, IEEE Transactions on Device and Materials Reliability.

[16]  Jin Wang,et al.  ARNS: Adaptive Relay-Node Selection Method for Message Broadcasting in the Internet of Vehicles , 2020, Sensors.

[17]  A. Lindoso,et al.  Analyzing the Impact of Single-Event-Induced Charge Sharing in Complex Circuits , 2011, IEEE Transactions on Nuclear Science.

[18]  Mehdi Baradaran Tahoori,et al.  A Fast Analytical Approach to Multi-cycle Soft Error Rate Estimation of Sequential Circuits , 2010, 2010 13th Euromicro Conference on Digital System Design: Architectures, Methods and Tools.

[19]  Mehdi Baradaran Tahoori,et al.  Efficient algorithms to accurately compute derating factors of digital circuits , 2012, Microelectron. Reliab..

[20]  Behnam Ghavami,et al.  A Fast Statistical Soft Error Rate Estimation Method for Nano-scale Combinational Circuits , 2016, J. Electron. Test..

[21]  Mohsen Saneei,et al.  An accurate and fast reliability analysis method for combinational circuits , 2015 .

[22]  P. E. Dodd,et al.  Physics of Multiple-Node Charge Collection and Impacts on Single-Event Characterization and Soft Error Rate Prediction , 2013, IEEE Transactions on Nuclear Science.

[23]  Diana Marculescu,et al.  MARS-C: modeling and reduction of soft errors in combinational circuits , 2006, 2006 43rd ACM/IEEE Design Automation Conference.

[24]  Juan L. Aragón,et al.  MASkIt: Soft error rate estimation for combinational circuits , 2016, 2016 IEEE 34th International Conference on Computer Design (ICCD).

[25]  Shuming Chen,et al.  A Novel Layout-Based Single Event Transient Injection Approach to Evaluate the Soft Error Rate of Large Combinational Circuits in Complimentary Metal-Oxide-Semiconductor Bulk Technology , 2016, IEEE Transactions on Reliability.

[26]  B. L. Bhuva,et al.  Impact of Technology Scaling on SRAM Soft Error Rates , 2014, IEEE Transactions on Nuclear Science.

[27]  Mehdi Baradaran Tahoori,et al.  Layout-Based Modeling and Mitigation of Multiple Event Transients , 2016, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[28]  M. SONZA REORDA,et al.  A New Approach to the Analysis of Single Event Transients in VLSI Circuits , 2004, J. Electron. Test..

[29]  Lloyd W. Massengill,et al.  Basic mechanisms and modeling of single-event upset in digital microelectronics , 2003 .

[30]  Juan L. Aragón,et al.  Fast and Accurate SER Estimation for Large Combinational Blocks in Early Stages of the Design , 2018, IEEE Transactions on Sustainable Computing.

[31]  Adrian Evans,et al.  Comprehensive Analysis of Sequential and Combinational Soft Errors in an Embedded Processor , 2015, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[32]  Hidetoshi Onodera,et al.  Impact of cell distance and well-contact density on neutron-induced Multiple Cell Upsets , 2013, IRPS 2013.

[33]  Walid Ibrahim,et al.  Accurate and Efficient Estimation of Logic Circuits Reliability Bounds , 2015, IEEE Transactions on Computers.

[34]  Peng Liu,et al.  Reliability evaluation of logic circuits based on transient faults propagation metrics , 2017, IEICE Electron. Express.

[35]  Diana Marculescu,et al.  Soft error rate analysis for sequential circuits , 2007 .

[36]  Li Cai,et al.  Reliability Evaluation for Single Event Transients on Digital Circuits , 2012, IEEE Transactions on Reliability.

[37]  Weizheng Wang,et al.  A Secure DFT Architecture Protecting Crypto Chips Against Scan-Based Attacks , 2019, IEEE Access.

[38]  Diana Marculescu,et al.  Multiple Transient Faults in Combinational and Sequential Circuits: A Systematic Approach , 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[39]  David Bryan,et al.  Combinational profiles of sequential benchmark circuits , 1989, IEEE International Symposium on Circuits and Systems,.

[40]  Jian Ma,et al.  Hierarchical Dynamic Thermal Management Method for High-Performance Many-Core Microprocessors , 2016, ACM Trans. Design Autom. Electr. Syst..

[41]  Hossein Pedram,et al.  Soft error rate estimation of combinational circuits based on vulnerability analysis , 2015, IET Comput. Digit. Tech..

[42]  Gennady I. Zebrev,et al.  Compact Modeling and Simulation of Heavy Ion-Induced Soft Error Rate in Space Environment: Principles and Validation , 2017, IEEE Transactions on Nuclear Science.

[43]  Mehdi Baradaran Tahoori,et al.  Soft error modeling and remediation techniques in ASIC designs , 2010, Microelectron. J..

[44]  Behnam Ghavami,et al.  Improving Combinational Circuit Reliability Against Multiple Event Transients via a Partition and Restructuring Approach , 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[45]  Yu Hu,et al.  Reliability-Oriented Placement and Routing Algorithm for SRAM-Based FPGAs , 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[46]  Ji Li,et al.  Accelerated Soft-Error-Rate (SER) Estimation for Combinational and Sequential Circuits , 2017, ACM Trans. Design Autom. Electr. Syst..

[47]  David Blaauw,et al.  Computing the Soft Error Rate of a Combinational Logic Circuit Using Parameterized Descriptors , 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[48]  Denis Teixeira Franco,et al.  Signal probability for reliability evaluation of logic circuits , 2008, Microelectron. Reliab..

[49]  Naresh R. Shanbhag,et al.  Soft-Error-Rate-Analysis (SERA) Methodology , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[50]  John P. Hayes,et al.  Probabilistic transfer matrices in symbolic reliability analysis of logic circuits , 2008, TODE.

[51]  Bashir M. Al-Hashimi,et al.  Defect-tolerant n2-transistor structure for reliable nanoelectronic designs , 2009, IET Comput. Digit. Tech..

[52]  J.-F. Naviner,et al.  Reliability of logic circuits under multiple simultaneous faults , 2008, 2008 51st Midwest Symposium on Circuits and Systems.

[53]  John P. Hayes,et al.  Accurate reliability evaluation and enhancement via probabilistic transfer matrices , 2005, Design, Automation and Test in Europe.

[54]  Huaguo Liang,et al.  Double-Node-Upset-Resilient Latch Design for Nanoscale CMOS Technology , 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[55]  Li Cai,et al.  Monte Carlo Reliability Model for Single-Event Transient on Combinational Circuits , 2017, IEEE Transactions on Nuclear Science.