Semi-Serial On-Chip Link Implementation for Energy Efficiency and High Throughput
暂无分享,去创建一个
Hannu Tenhunen | Ethiopia Nigussie | Juha Plosila | Jouni Isoaho | Sampo Tuuna | H. Tenhunen | J. Plosila | J. Isoaho | E. Nigussie | S. Tuuna
[1] Nicola Concer,et al. Simulation and analysis of network on chip architectures: ring, spidergon and 2D mesh , 2006, Proceedings of the Design Automation & Test in Europe Conference.
[2] Pingshan Wang,et al. Pulsed wave interconnect , 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[3] Ran Ginosar,et al. Asynchronous Current Mode Serial Communication , 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[4] Sriram R. Vangal,et al. A 5-GHz Mesh Interconnect for a Teraflops Processor , 2007, IEEE Micro.
[5] William J. Dally,et al. Flattened Butterfly Topology for On-Chip Networks , 2007, IEEE Comput. Archit. Lett..
[6] R. Bashirullah,et al. Differential current-mode signaling for robust and power efficient on-chip global interconnects , 2005, IEEE 14th Topical Meeting on Electrical Performance of Electronic Packaging, 2005..
[7] Min Chen,et al. Analysis of pulse signaling for low-power on-chip global bus design , 2006, 7th International Symposium on Quality Electronic Design (ISQED'06).
[8] David Wentzlaff,et al. Processor: A 64-Core SoC with Mesh Interconnect , 2010 .
[9] N. Tzartzanis,et al. Differential current-mode sensing for efficient on-chip global signaling , 2005, IEEE Journal of Solid-State Circuits.
[10] James D. Meindl,et al. Compact distributed RLC interconnect models - part IV: unified models for time delay, crosstalk, and repeater insertion , 2003 .
[11] Zhiyi Yu,et al. A 167-Processor Computational Platform in 65 nm CMOS , 2009, IEEE Journal of Solid-State Circuits.
[12] Ran Ginosar,et al. High Rate Wave-pipelined Asynchronous On-chip Bit-serial Data Link , 2007, 13th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC'07).
[13] Jian Xu,et al. A 32Gb/s On-chip Bus with Driver Pre-emphasis Signaling , 2006, IEEE Custom Integrated Circuits Conference 2006.
[14] Hoi-Jun Yoo,et al. Adaptive network-on-chip with wave-front train serialization scheme , 2005, Digest of Technical Papers. 2005 Symposium on VLSI Circuits, 2005..
[15] Luca Benini,et al. Networks on chips - technology and tools , 2006, The Morgan Kaufmann series in systems on silicon.
[16] Mattan Kamon,et al. FastHenry: A Multipole-Accelerated 3-D Inductance Extraction Program , 1993, 30th ACM/IEEE Design Automation Conference.
[17] Ajay Joshi,et al. Design and Optimization of On-Chip Interconnects Using Wave-Pipelined Multiplexed Routing , 2007, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[18] Radu Marculescu,et al. "It's a small world after all": NoC performance optimization via long-range link insertion , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[19] Vladimir Stojanovic,et al. Designing Energy-Efficient Low-Diameter On-Chip Networks with Equalized Interconnects , 2009, 2009 17th IEEE Symposium on High Performance Interconnects.
[20] A. Jose,et al. Near speed-of-light on-chip interconnects using pulsed current-mode signalling , 2005, Digest of Technical Papers. 2005 Symposium on VLSI Circuits, 2005..
[21] Mel Bazes,et al. Two novel fully complementary self-biased CMOS differential amplifiers , 1991 .
[22] William J. Dally,et al. Design tradeoffs for tiled CMP on-chip networks , 2006, ICS '06.
[23] David Blaauw,et al. High-bandwidth and low-energy on-chip signaling with adaptive pre-emphasis in 90nm CMOS , 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).
[24] H. Lhermet,et al. An Asynchronous Power Aware and Adaptive NoC Based Circuit , 2009, IEEE Journal of Solid-State Circuits.
[25] Hidetoshi Onodera,et al. A 10Gbps/channel On-Chip Signaling Circuit with an Impedance-Unmatched CML Driver in 90nm CMOS Technology , 2007, 2007 Asia and South Pacific Design Automation Conference.
[26] Timo D. Hämäläinen,et al. On network-on-chip comparison , 2007 .
[27] Uri C. Weiser,et al. Interconnect-power dissipation in a microprocessor , 2004, SLIP '04.
[28] Eisse Mensink,et al. A 0.28pJ/b 2Gb/s/ch Transceiver in 90nm CMOS for 10mm On-Chip interconnects , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.
[29] Atul Maheshwari,et al. Differential current-sensing for on-chip interconnects , 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[30] William J. Dally,et al. Digital systems engineering , 1998 .
[31] William J. Dally,et al. Principles and Practices of Interconnection Networks , 2004 .
[32] Ran Ginosar,et al. Parallel vs. serial on-chip communication , 2008, SLIP '08.
[33] Justin Schauer,et al. High Speed and Low Energy Capacitively Driven On-Chip Wires , 2008, IEEE Journal of Solid-State Circuits.
[34] S. Borkar,et al. An 80-Tile Sub-100-W TeraFLOPS Processor in 65-nm CMOS , 2008, IEEE Journal of Solid-State Circuits.
[35] Wentai Liu,et al. Current-mode signaling in deep submicrometer global interconnects , 2003, IEEE Trans. Very Large Scale Integr. Syst..
[36] William J. Dally. Enabling Technology for On-Chip Interconnection Networks , 2007, First International Symposium on Networks-on-Chip (NOCS'07).
[37] Mattan Kamon,et al. FASTHENRY: a multipole-accelerated 3-D inductance extraction program , 1994 .
[38] Eckhard Grass,et al. Globally Asynchronous, Locally Synchronous Circuits: Overview and Outlook , 2007, IEEE Design & Test of Computers.
[39] Shekhar Y. Borkar,et al. Designing reliable systems from unreliable components: the challenges of transistor variability and degradation , 2005, IEEE Micro.
[40] 裕幸 飯田,et al. International Technology Roadmap for Semiconductors 2003の要求清浄度について - シリコンウエハ表面と雰囲気環境に要求される清浄度, 分析方法の現状について - , 2004 .
[41] William J. Dally,et al. Research Challenges for On-Chip Interconnection Networks , 2007, IEEE Micro.