Fault-Duration And-Location Aware CED Technique With Runtime Adaptability

In response to the rising fault susceptibility of integrated circuits due to aggressive device scaling, a number of concurrent error detection (CED) techniques have been proposed. However, many of these CED techniques do not concern power. Even worse, these techniques are inefficient or even incapable of addressing the new challenges brought about by nanometer devices. In this paper, we propose a new register-transfer-level CED technique that comprehensively considers power efficiency and fault security. Its CED capability can be adjusted at runtime according to the actual need. The proposed high-level synthesis technique ensures that the generated datapath consumes minimal power for any fault scenario it has been turned to.

[1]  Niraj K. Jha,et al.  Behavioral Synthesis of Fault Secure Controller/Datapaths Based on Aliasing Probability Analysis , 2000, IEEE Trans. Computers.

[2]  Ramesh Karri,et al.  High-Level Synthesis of Fault-Secure Microarchitectures , 1993, 30th ACM/IEEE Design Automation Conference.

[3]  Niraj K. Jha,et al.  SCALP: an iterative-improvement-based low-power data path synthesis system , 1997, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[4]  Wayne H. Wolf,et al.  Unification of scheduling, binding, and retiming to reduce power consumption under timings and resources constraints , 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[5]  Yvon Savaria,et al.  Methods for minimizing dynamic power consumption in synchronous designs with multiple supply voltages , 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[6]  Liyi Xiao,et al.  Soft error optimization of standard cell circuits based on gate sizing and multi-objective genetic algorithm , 2009, 2009 46th ACM/IEEE Design Automation Conference.

[7]  Kaushik Roy,et al.  Temporal Performance Degradation under NBTI: Estimation and Design for Improved Reliability of Nanoscale Circuits , 2006, Proceedings of the Design Automation & Test in Europe Conference.

[8]  Saraju P. Mohanty,et al.  Simultaneous peak and average power minimization during datapath scheduling , 2005, IEEE Trans. Circuits Syst. I Regul. Pap..

[9]  E. F. Girczyc,et al.  HAL: A Multi-Paradigm Approach to Automatic Data Path Synthesis , 1986, 23rd ACM/IEEE Design Automation Conference.

[10]  Trevor Mudge,et al.  Razor: a low-power pipeline based on circuit-level timing speculation , 2003, Proceedings. 36th Annual IEEE/ACM International Symposium on Microarchitecture, 2003. MICRO-36..

[11]  Cheng Zhuo,et al.  Process variability-aware transient fault modeling and analysis , 2008, ICCAD 2008.

[12]  Mikko H. Lipasti,et al.  An accurate flip-flop selection technique for reducing logic SER , 2008, 2008 IEEE International Conference on Dependable Systems and Networks With FTCS and DCC (DSN).

[13]  Chong-Min Kyung,et al.  FAMOS: an efficient scheduling algorithm for high-level synthesis , 1993, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[14]  Eike Schmidt,et al.  Estimation of lower and upper bounds on the power consumption from scheduled data flow graphs , 2001, IEEE Trans. Very Large Scale Integr. Syst..

[15]  Yu Liu,et al.  An ILP formulation to Unify Power Efficiency and Fault Detection at Register-Transfer Level , 2009, 2009 24th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems.

[16]  Hon Keung Kwan,et al.  A multi-output second-order digital filter structure for VLSI implementation , 1985 .

[17]  Niraj K. Jha,et al.  An iterative improvement algorithm for low power data path synthesis , 1995, ICCAD.

[18]  Yu Liu,et al.  Towards cool and reliable digital systems: RT level CED techniques with runtime adaptability , 2010, 2010 IEEE International Conference on Computer Design.

[19]  Mahdi Fazeli,et al.  An energy efficient circuit level technique to protect register file from MBUs and SETs in embedded processors , 2009, 2009 IEEE/IFIP International Conference on Dependable Systems & Networks.

[20]  Michael Nicolaidis,et al.  A CAD framework for generating self-checking multipliers based on residue codes , 1999, Design, Automation and Test in Europe Conference and Exhibition, 1999. Proceedings (Cat. No. PR00078).

[21]  Ramesh Karri,et al.  Fault secure datapath synthesis using hybrid time and hardware redundancy , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[22]  S.,et al.  An Efficient Heuristic Procedure for Partitioning Graphs , 2022 .

[23]  Diana Marculescu,et al.  Process variability-aware transient fault modeling and analysis , 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design.

[24]  Baris Taskin,et al.  Clock buffer polarity assignment with skew tuning , 2011, TODE.

[25]  Miodrag Potkonjak,et al.  High level synthesis for reconfigurable datapath structures , 1993, ICCAD.

[26]  Taewhan Kim,et al.  High-level synthesis for low power based on network flow method , 2003, IEEE Trans. Very Large Scale Integr. Syst..

[27]  Sophocles J. Orfanidis,et al.  Introduction to signal processing , 1995 .

[28]  P.H. Eaton,et al.  Digital Single Event Transient Trends With Technology Node Scaling , 2006, IEEE Transactions on Nuclear Science.

[29]  Janak H. Patel,et al.  Concurrent Error Detection in ALU's by Recomputing with Shifted Operands , 1982, IEEE Transactions on Computers.

[30]  Brian W. Kernighan,et al.  An Effective Heuristic Algorithm for the Traveling-Salesman Problem , 1973, Oper. Res..

[31]  Naresh R. Shanbhag,et al.  Sequential Element Design With Built-In Soft Error Resilience , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[32]  B. Narasimham,et al.  Characterization of Digital Single Event Transient Pulse-Widths in 130-nm and 90-nm CMOS Technologies , 2007, IEEE Transactions on Nuclear Science.

[33]  Viswanathan Subramanian,et al.  Low overhead Soft Error Mitigation techniques for high-performance and aggressive systems , 2009, 2009 IEEE/IFIP International Conference on Dependable Systems & Networks.

[34]  Niraj K. Jha,et al.  An ILP formulation for low power based on minimizing switched capacitance during data path allocation , 1995, Proceedings of ISCAS'95 - International Symposium on Circuits and Systems.