A Low-Power Timing-Error-Tolerant Circuit by Controlling a Clock

Timing error is now getting increased attention due to the high rate of error-occurrence on semiconductors. Even slight external disturbance can threaten the timing margin between successive clocks since the latest semiconductor operates with high frequency and small supply voltage. To deal with a timing error, many techniques have been introduced. Nevertheless, existing methods that mitigate a timing error mostly have time-delaying mechanisms and too complex operation, resulting in a timing problem on clock-based systems and hardware overhead. In this article, we propose a novel timing-error-tolerant method that can correct a timing error instantly through a simple mechanism. By modifying a clock in a flip-flop, the proposed system can recover a timing error without the loss of time in the clock-based system. Furthermore, due to the compact mechanism, the proposed system has low hardware overhead in comparison with existing timing-error-tolerant systems that can recover the error instantly. To verify our method, the proposed circuit was extensively simulated by addressing PVT variations. Moreover, it was implemented in several benchmark designs, including a microprocessor.

[1]  Yiorgos Tsiatouhas,et al.  Timing Error Tolerance in Small Core Designs for SoC Applications , 2016, IEEE Transactions on Computers.

[2]  Ming Zhang,et al.  Circuit Failure Prediction and Its Application to Transistor Aging , 2007, 25th IEEE VLSI Test Symposium (VTS'07).

[3]  Michael Nicolaidis Time redundancy based soft-error tolerance to rescue nanometer technologies , 1999, Proceedings 17th IEEE VLSI Test Symposium (Cat. No.PR00146).

[4]  Kaushik Roy,et al.  Reliability Implications of Bias-Temperature Instability in Digital ICs , 2009, IEEE Design & Test of Computers.

[5]  Kwang-Hyun Cho,et al.  Delay-robust supervisory control of discrete-event systems with bounded communication delays , 2006, IEEE Trans. Autom. Control..

[6]  Cecilia Metra,et al.  Low Cost NBTI Degradation Detection and Masking Approaches , 2013, IEEE Transactions on Computers.

[7]  Robert C. Aitken,et al.  Time-Borrowing Circuit Designs and Hardware Prototyping for Timing Error Resilience , 2014, IEEE Transactions on Computers.

[8]  Ali Afzali-Kusha,et al.  Dynamic Flip-Flop Conversion: A Time-Borrowing Method for Performance Improvement of Low-Power Digital Circuits Prone to Variations , 2015, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[9]  N. Seifert,et al.  Robust system design with built-in soft-error resilience , 2005, Computer.

[10]  Yiorgos Tsiatouhas,et al.  The Time Dilation Technique for Timing Error Tolerance , 2014, IEEE Transactions on Computers.

[11]  Lorena Anghel,et al.  Cost reduction and evaluation of temporary faults detecting technique , 2000, DATE '00.

[12]  Chuan Zhang,et al.  TG-SPP: A One-Transmission-Gate Short-Path Padding for Wide-Voltage-Range Resilient Circuits in 28-nm CMOS , 2020, IEEE Journal of Solid-State Circuits.

[13]  David Blaauw,et al.  CAS-FEST 2010: Mitigating Variability in Near-Threshold Computing , 2011, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.

[14]  Kaushik Roy,et al.  On-Chip Variability Sensor Using Phase-Locked Loop for Detecting and Correcting Parametric Timing Failures , 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[15]  K.A. Bowman,et al.  Energy-Efficient and Metastability-Immune Resilient Circuits for Dynamic Variation Tolerance , 2009, IEEE Journal of Solid-State Circuits.

[16]  David Blaauw,et al.  iRazor: Current-Based Error Detection and Correction Scheme for PVT Variation in 40-nm ARM Cortex-R4 Processor , 2018, IEEE Journal of Solid-State Circuits.

[17]  Behjat Forouzandeh,et al.  A Timing Error Mitigation Technique for High Performance Designs , 2015, 2015 IEEE Computer Society Annual Symposium on VLSI.

[18]  Kwang-Hyun Cho,et al.  Predicting epileptic seizures from scalp EEG based on attractor state analysis , 2017, Comput. Methods Programs Biomed..

[19]  David Blaauw,et al.  A Power-Efficient 32 bit ARM Processor Using Timing-Error Detection and Correction for Transient-Error Tolerance and Adaptation to PVT Variation , 2011, IEEE Journal of Solid-State Circuits.

[20]  Bo Yang,et al.  Optimized Circuit Failure Prediction for Aging: Practicality and Promise , 2008, 2008 IEEE International Test Conference.

[21]  Kwang-Hyun Cho,et al.  Reduction of Complex Signaling Networks to a Representative Kernel , 2011, Science Signaling.

[22]  Yiorgos Tsiatouhas,et al.  A zero aliasing built-in self test technique for delay fault testing , 1999, Proceedings 1999 IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems (EFT'99).