Circuit valorization in the IC design ecosystem
暂无分享,去创建一个
[1] Shekhar Y. Borkar,et al. Designing reliable systems from unreliable components: the challenges of transistor variability and degradation , 2005, IEEE Micro.
[2] B Bo Liu. Standard cell library design for sub-threshold operation , 2014 .
[3] Anurag Mittal,et al. Nano-CMOS Circuit and Physical Design , 2004 .
[4] Andrew B. Kahng,et al. ITRS 2.0: Toward a re-framing of the Semiconductor Technology Roadmap , 2014, 2014 IEEE 32nd International Conference on Computer Design (ICCD).
[5] D. Sylvester,et al. IoT design space challenges: Circuits and systems , 2014, 2014 Symposium on VLSI Technology (VLSI-Technology): Digest of Technical Papers.
[6] Arkady B. Zaslavsky,et al. Context Aware Computing for The Internet of Things: A Survey , 2013, IEEE Communications Surveys & Tutorials.
[7] Jason Cong,et al. Logic synthesis for better than worst-case designs , 2009, 2009 International Symposium on VLSI Design, Automation and Test.
[8] Kofi A. A. Makinwa,et al. A microcontroller with 96% power-conversion efficiency using stacked voltage domains , 2016, 2016 IEEE Symposium on VLSI Circuits (VLSI-Circuits).
[9] José Pineda de Gyvez,et al. Body-Bias-Driven Design Strategy for Area- and Performance-Efficient CMOS Circuits , 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[10] James Tschanz,et al. Parameter variations and impact on circuits and microarchitecture , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).
[11] James D. Meindl,et al. Impact of die-to-die and within-die parameter fluctuations on the maximum clock frequency distribution for gigascale integration , 2002, IEEE J. Solid State Circuits.
[12] Kaushik Roy,et al. Scalable effort hardware design: Exploiting algorithmic resilience for energy efficiency , 2010, Design Automation Conference.
[13] D. Blaauw,et al. Opportunities and challenges for better than worst-case design , 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..
[14] John Sartori,et al. Recovery-driven design: A power minimization methodology for error-tolerant processor modules , 2010, Design Automation Conference.
[15] Jason Cong,et al. Better-Than-Worst-Case Design: Progress and Opportunities , 2014, Journal of Computer Science and Technology.
[16] Margaret Martonosi,et al. Dynamic thermal management for high-performance microprocessors , 2001, Proceedings HPCA Seventh International Symposium on High-Performance Computer Architecture.
[17] Kaushik Roy,et al. Integrated Systems in the More-Than-Moore Era: Designing Low-Cost Energy-Efficient Systems Using Heterogeneous Components , 2010, IEEE Design & Test.