Empirical evaluation of deterministic and adaptive routing with constant-area routers

This paper addresses the issue of how router complexity affects the overall performance in deterministic and adaptive routing under virtual cut-through switching in k-ary n-cube networks. First, the performance of various adaptive routers with constant area are compared. Second, the performance of adaptive and deterministic routers are compared under the same conditions. Finally, it is shown that, under certain conditions, deterministic routers can reach saturation points comparable to adaptive routers.

[1]  Loren Schwiebert,et al.  A universal proof technique for deadlock-free routing in interconnection networks , 1995, SPAA '95.

[2]  Luis Gravano,et al.  Adaptive Deadlock- and Livelock-Free Routing with All Minimal Paths in Torus Networks , 1994, IEEE Trans. Parallel Distributed Syst..

[3]  Pedro López,et al.  Performance Evaluation of Adaptive Routing Algorithms for k-ary-n-cubes , 1994, PCRCW.

[4]  Walid A. Najjar,et al.  Preliminary Evaluation of a Hybrid Deterministic/Adaptive Router , 1997, PCRCW.

[5]  Andrew A. Chien,et al.  The Cost of Adaptivity and Virtual Lanes in aWormhole Router , 1995 .

[6]  Kang G. Shin,et al.  Adaptive Deadlock-Free Routing in Multicomputers Using Only One Extra Virtual Channel , 1993, 1993 International Conference on Parallel Processing - ICPP'93.

[7]  William J. Dally Virtual-Channel Flow Control , 1992, IEEE Trans. Parallel Distributed Syst..

[8]  Daniel H. Linder,et al.  An Adaptive and Fault Tolerant Wormhole Routing Strategy for k-Ary n-Cubes , 1994, IEEE Trans. Computers.

[9]  Andrew A. Chien,et al.  Planar-adaptive routing: low-cost adaptive networks for multiprocessors , 1992, ISCA '92.

[10]  Charles M. Flaig VLSI Mesh Routing Systems , 1987 .

[11]  Charles A. Wüthrich,et al.  Routing Strategies and Message Contention on Low-dimensional Interconnection Networks , 1991 .

[12]  Timothy Mark Pinkston,et al.  An efficient, fully adaptive deadlock recovery scheme: DISHA , 1995, ISCA.

[13]  Annette Guevara Lagman Modeling, analysis and evaluation of adaptive routing strategies , 1995 .

[14]  José Duato,et al.  A New Theory of Deadlock-Free Adaptive Routing in Wormhole Networks , 1993, IEEE Trans. Parallel Distributed Syst..

[15]  A. A. Chein,et al.  A cost and speed model for k-ary n-cube wormhole routers , 1998 .

[16]  S. Konstantinidou,et al.  Chaos router: architecture and performance , 1991, [1991] Proceedings. The 18th Annual International Symposium on Computer Architecture.

[17]  Andrew A. Chien,et al.  J-machine: A fine-grain concurrent computer , 1989 .

[18]  José Duato Deadlock-free adaptive routing algorithms for multicomputers: evaluation of a new algorithm , 1991, Proceedings of the Third IEEE Symposium on Parallel and Distributed Processing.

[19]  Leonard Kleinrock,et al.  Virtual Cut-Through: A New Computer Communication Switching Technique , 1979, Comput. Networks.

[20]  Suresh Chalasani,et al.  A comparison of adaptive wormhole routing algorithms , 1993, ISCA '93.

[21]  William J. Dally,et al.  Deadlock-Free Message Routing in Multiprocessor Interconnection Networks , 1987, IEEE Transactions on Computers.

[22]  William J. Dally,et al.  Virtual-channel flow control , 1990, [1990] Proceedings. The 17th Annual International Symposium on Computer Architecture.

[23]  Lionel M. Ni,et al.  The Turn Model for Adaptive Routing , 1992, [1992] Proceedings the 19th Annual International Symposium on Computer Architecture.

[24]  Seungjin Park Adaptive Deadlock-Free Wormhole Routing in Faulty Hypercubes , 1995, Parallel and Distributed Computing and Systems.

[25]  Andrew A. Chien,et al.  The Impact of Packetization in Wormhole-Routed Networks , 1993, PARLE.

[26]  Xiaola Lin,et al.  Deadlock-free multicast wormhole routing in multicomputer networks , 1991, ISCA '91.

[27]  Lionel M. Ni,et al.  A survey of wormhole routing techniques in direct networks , 1993, Computer.

[28]  William J. Dally,et al.  Design of a Self-Timed VLSI Multicomputer Communication Controller, , 1987 .

[29]  Sudhakar Yalamanchili,et al.  Configurable flow control mechanisms for fault-tolerant routing , 1995, ISCA.

[30]  Anant Agarwal,et al.  Limits on Interconnection Network Performance , 1991, IEEE Trans. Parallel Distributed Syst..