Design, Performance, and Energy Consumption of eDRAM/SRAM Macrocells for L1 Data Caches
暂无分享,去创建一个
[1] Gu-Yeon Wei,et al. Process Variation Tolerant 3T1D-Based Cache Architectures , 2007, 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007).
[2] David Blaauw,et al. Drowsy caches: simple techniques for reducing leakage power , 2002, ISCA.
[3] Kevin Skadron,et al. HotLeakage: A Temperature-Aware Model of Subthreshold and Gate Leakage for Architects , 2003 .
[4] David R. Kaeli,et al. Exploiting temporal locality in drowsy cache policies , 2005, CF '05.
[5] Margaret Martonosi,et al. Managing leakage for transient data: decay and quasi-static 4T memory cells , 2002, ISLPED '02.
[6] Balaram Sinharoy,et al. POWER4 system microarchitecture , 2002, IBM J. Res. Dev..
[7] Yu Cao,et al. Predictive Technology Model for Nano-CMOS Design Exploration , 2006, Nano-Net.
[8] Pedro López,et al. An hybrid eDRAM/SRAM macrocell to implement first-level data caches , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[9] Onur Mutlu,et al. Stall-Time Fair Memory Access Scheduling for Chip Multiprocessors , 2007, 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007).
[10] Balaram Sinharoy,et al. POWER5 system microarchitecture , 2005, IBM J. Res. Dev..
[11] S. Iyer,et al. An 800MHz embedded DRAM with a concurrent refresh mode , 2004, 2004 IEEE International Solid-State Circuits Conference (IEEE Cat. No.04CH37519).
[12] Jung Ho Ahn,et al. A Comprehensive Memory Modeling Tool and Its Application to the Design and Analysis of Future Memory Hierarchies , 2008, 2008 International Symposium on Computer Architecture.
[13] W. Marsden. I and J , 2012 .
[14] Kazuaki Murakami,et al. Way-predicting set-associative cache for high performance and low energy consumption , 1999, Proceedings. 1999 International Symposium on Low Power Electronics and Design (Cat. No.99TH8477).
[15] David Harris,et al. CMOS VLSI Design: A Circuits and Systems Perspective , 2004 .
[16] Aaas News,et al. Book Reviews , 1893, Buffalo Medical and Surgical Journal.
[17] Xiaoxia Wu,et al. Hybrid cache architecture with disparate memory technologies , 2009, ISCA '09.
[18] Richard E. Matick,et al. Logic-based eDRAM: Origins and rationale for use , 2005, IBM J. Res. Dev..
[19] Margaret Martonosi,et al. Cache decay: exploiting generational behavior to reduce cache leakage power , 2001, ISCA 2001.
[20] M. Wordeman,et al. An 800-MHz embedded DRAM with a concurrent refresh mode , 2005, IEEE Journal of Solid-State Circuits.
[21] Kaushik Roy,et al. Gated-Vdd: a circuit technique to reduce leakage in deep-submicron cache memories , 2000, ISLPED '00.
[22] Shyamkumar Thoziyoor,et al. CACTI 5 . 1 , 2008 .
[23] Dirk Grunwald,et al. Predictive sequential associative cache , 1996, Proceedings. Second International Symposium on High-Performance Computer Architecture.
[24] K. Skadron,et al. Managing leakage for transient data: decay and quasi-static 4T memory cells , 2002, Proceedings of the International Symposium on Low Power Electronics and Design.