Exploiting Application/System-Dependent Ambient Temperature for Accurate Microarchitectural Simulation

In the early design stage of processors, Dynamic Thermal Management (DTM) schemes should be evaluated to avoid excessively high temperature, while minimizing performance overhead. In this paper, we show that conventional thermal simulations using the fixed ambient temperature may lead to the wrong conclusions in terms of temperature, performance, reliability, and leakage power. Though ambient temperature converges to a steady-state value after hundreds of seconds when we run SPEC CPU2000 benchmark suite, the steady-state ambient temperature is significantly different depending on applications and system configuration. To overcome inaccuracy of conventional thermal simulations, we propose that microarchitectural thermal simulations should exploit application/system-dependent ambient temperature. Our evaluation results reveal that performance, thermal behavior, reliability, and leakage power of the same DTM scheme are different when we use the application/system-dependent ambient temperature instead of the fixed ambient temperature. For accurate simulation results, future microarchitectural thermal researchers are expected to evaluate their proposed DTM schemes based on application/system-dependent ambient temperature.

[1]  Stéphane Eranian What can performance counters do for memory subsystem analysis? , 2008, MSPC '08.

[2]  Pradip Bose,et al.  The case for lifetime reliability-aware microprocessors , 2004, Proceedings. 31st Annual International Symposium on Computer Architecture, 2004..

[3]  Wei Wu,et al.  Efficient power modeling and software thermal sensing for runtime temperature monitoring , 2007, TODE.

[4]  Kevin Skadron,et al.  Using performance counters for runtime temperature sensing in high-performance processors , 2005, 19th IEEE International Parallel and Distributed Processing Symposium.

[5]  Karthick Rajamani,et al.  Thermal response to DVFS: analysis with an Intel Pentium M , 2007, Proceedings of the 2007 international symposium on Low power electronics and design (ISLPED '07).

[6]  Kevin Skadron,et al.  Temperature-aware microarchitecture , 2003, ISCA '03.

[7]  Lei He,et al.  Temperature and supply Voltage aware performance and power modeling at microarchitecture level , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[8]  Ricardo Bianchini,et al.  Mercury and freon: temperature emulation and management for server systems , 2006, ASPLOS XII.

[9]  Naehyuck Chang,et al.  Exploiting application-dependent ambient temperature for accurate architectural simulation , 2010, 2010 IEEE International Conference on Computer Design.

[10]  Pradip Bose,et al.  Exploiting structural duplication for lifetime reliability enhancement , 2005, 32nd International Symposium on Computer Architecture (ISCA'05).

[11]  Kevin Skadron,et al.  Recent thermal management techniques for microprocessors , 2012, CSUR.

[12]  Chen-Yong Cher,et al.  Exploring the effects of on-chip thermal variation on high-performance multicore architectures , 2011, TACO.

[13]  Anand Sivasubramaniam,et al.  Understanding the performance-temperature interactions in disk I/O of server workloads , 2006, The Twelfth International Symposium on High-Performance Computer Architecture, 2006..

[14]  Joonwon Lee,et al.  Modeling and Managing Thermal Profiles of Rack-mounted Servers with ThermoStat , 2007, 2007 IEEE 13th International Symposium on High Performance Computer Architecture.

[15]  Doug Burger,et al.  Measuring Experimental Error in Microprocessor Simulation , 2001, ISCA 2001.

[16]  Yu Zhang,et al.  An Approach for Adaptive DRAM Temperature and Power Management , 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[17]  Jose Renau,et al.  Characterizing processor thermal behavior , 2010, ASPLOS XV.

[18]  Margaret Martonosi,et al.  Runtime power monitoring in high-end processors: methodology and empirical data , 2003, Proceedings. 36th Annual IEEE/ACM International Symposium on Microarchitecture, 2003. MICRO-36..

[19]  Lizy Kurian John,et al.  Complete System Power Estimation: A Trickle-Down Approach Based on Performance Events , 2007, 2007 IEEE International Symposium on Performance Analysis of Systems & Software.

[20]  Gabriel H. Loh A modular 3d processor for flexible product design and technology migration , 2008, CF '08.

[21]  J. Gim,et al.  DIG: Rapid Characterization of Modern Hard Disk Drive and Its Performance Implication , 2008, 2008 Fifth IEEE International Workshop on Storage Network Architecture and Parallel I/Os.

[22]  Kevin Skadron,et al.  Predictive Temperature-Aware DVFS , 2010, IEEE Transactions on Computers.

[23]  John Paul Shen,et al.  Calibration of Microprocessor Performance Models , 1998, Computer.

[24]  Margaret Martonosi,et al.  Techniques for Multicore Thermal Management: Classification and New Exploration , 2006, ISCA 2006.