Fast Simulation of Computer Architectures

From the Publisher: Fast Simulation of Computer Architectures describes fast, efficient and sophisticated techniques for quantitative computer architecture evaluation. Chapters in the book cover topics such as how to collect traces, emulate instruction sets, simulate multiprocessors using execution-driven techniques, evaluate memory hierarchies, apply statistical sampling to simulation, and how to augment simulation with performance bound models. Fast Simulation of Computer Architectures will be of tremendous interest to practicing computer architect designers seeking timely solutions to tough evaluation problems, and to advanced upper division undergraduate and graduate level students studying in this area. To that end, the Editors have included between ten to twenty problems at the end of Chapters 2 through 8 that will be useful study aids.

[1]  M. AdelsonVelskii,et al.  AN ALGORITHM FOR THE ORGANIZATION OF INFORMATION , 1963 .

[2]  Irving L. Traiger,et al.  Evaluation Techniques for Storage Hierarchies , 1970, IBM Syst. J..

[3]  Vincent J. Kruskal,et al.  LRU Stack Processing , 1975, IBM J. Res. Dev..

[4]  Janak H. Patel,et al.  Improving the Throughput of a Pipeline by Insertion of Delays , 1976, ISCA.

[5]  Paul Feautrier,et al.  A New Solution to Coherence Problems in Multicache Systems , 1978, IEEE Transactions on Computers.

[6]  Yu-Chi Ho,et al.  A gradient technique for general buffer storage design in a production line , 1979 .

[7]  Chester Hayden McCall,et al.  Sampling and Statistics Handbook for Research , 1982 .

[8]  Frank Olken,et al.  Efficient methods for calculating the success function of fixed space replacement policies , 1983, Perform. Evaluation.

[9]  I. J. Haikala,et al.  Cache hit ratios with geometric task switch intervals , 1984, ISCA '84.

[10]  Thomas Roberts Puzak,et al.  Analysis of cache replacement-algorithms , 1985 .

[11]  Robert E. Tarjan,et al.  Self-adjusting binary search trees , 1985, JACM.

[12]  B J Smith,et al.  A pipelined, shared resource MIMD computer , 1986 .

[13]  F. H. Mcmahon,et al.  The Livermore Fortran Kernels: A Computer Test of the Numerical Performance Range , 1986 .

[14]  James K. Archibald,et al.  Cache coherence protocols: evaluation using a multiprocessor simulation model , 1986, TOCS.

[15]  James E. Smith,et al.  The ZS-1 central processor , 1987, ASPLOS.

[16]  Gerry Kane,et al.  MIPS RISC Architecture , 1987 .

[17]  Alan Jay Smith,et al.  Efficient Analysis of Caching Systems , 1987 .

[18]  Edward S. Davidson,et al.  Polycyclic vector scheduling vs. chaining on 1-port vector supercomputers , 1988, Proceedings. SUPERCOMPUTING '88.

[19]  Janak H. Patel,et al.  Accurate Low-Cost Methods for Performance Evaluation of Cache Memory Systems , 1988, IEEE Trans. Computers.

[20]  Edward S. Davidson,et al.  An evaluation of Cray X-MP performance on vectorizable Livermore FORTRAN kernels , 1988, ICS '88.

[21]  J. Robert Jump,et al.  The rice parallel processing testbed , 1988, SIGMETRICS '88.

[22]  C. Cassandras,et al.  On-line sensitivity analysis of Markov chains , 1989 .

[23]  Alan Jay Smith,et al.  Evaluating Associativity in CPU Caches , 1989, IEEE Trans. Computers.

[24]  R. Suri,et al.  Perturbation analysis: the state of the art and research issues explained via the GI/G/1 queue , 1989, Proc. IEEE.

[25]  Ju-ho Tang Performance evaluation of vector machine architectures , 1989 .

[26]  Mark Horowitz,et al.  An analytical cache model , 1989, TOCS.

[27]  Robert F Boothe Multiprocessor Strategies for Ray-Tracing , 1989 .

[28]  Richard R. Oehler,et al.  IBM RISC System/6000 Processor Architecture , 1990, IBM J. Res. Dev..

[29]  Susan J. Eggers,et al.  Techniques for efficient inline tracing on a shared-memory multiprocessor , 1990, SIGMETRICS '90.

[30]  E.S. Davidson,et al.  The effects of memory latency and fine-grain parallelism on Astronautics ZS-1 performance , 1990, Twenty-Third Annual Hawaii International Conference on System Sciences.

[31]  Edward S. Davidson,et al.  A performance comparison of the IBM RS/6000 and the Astronautics ZS-1 , 1991, Computer.

[32]  David A. Wood,et al.  A model for estimating trace-sample miss ratios , 1991, SIGMETRICS '91.

[33]  John L. Hennessy,et al.  Multiprocessor Simulation and Tracing Using Tango , 1991, ICPP.

[34]  E.S. Davidson,et al.  Architectural vs. delivered performance of the IBM RS/6000 and the Astronautics ZS-1 , 1991, Proceedings of the Twenty-Fourth Annual Hawaii International Conference on System Sciences.

[35]  William Jalby,et al.  Behavioral characterization of decoupled access/execute architecture , 1991, ICS '91.

[36]  Edward S. Davidson,et al.  Vector register design for polycyclic vector scheduling , 1991, ASPLOS IV.

[37]  Chrysanthos Dellarocas,et al.  A HIGH-PERFORMANCE RETARGETABLE SIMULATOR FOR PARALLEL ARCHITECTURES , 1991 .

[38]  Wen-Hann Wang,et al.  Efficient trace-driven simulation methods for cache performance analysis , 1991, TOCS.

[39]  Anoop Gupta,et al.  SPLASH: Stanford parallel applications for shared-memory , 1992, CARN.

[40]  W. Mangione-Smith Performance bounds and buffer space requirements for concurrent processors , 1992 .

[41]  Eric A. Brewer,et al.  PROTEUS: a high-performance parallel-architecture simulator , 1992, SIGMETRICS '92/PERFORMANCE '92.

[42]  Edward S. Davidson,et al.  Register requirements of pipelined processors , 1992, ICS '92.

[43]  Bob Boothe,et al.  Improved multithreading techniques for hiding communication latency in multiprocessors , 1992, ISCA '92.

[44]  Thomas Martin Conte,et al.  Systematic Computer Architecture Prototyping , 1992 .

[45]  Jih-Kwon Peir,et al.  Cache sampling by sets , 1993, IEEE Trans. Very Large Scale Integr. Syst..

[46]  Edward S. Davidson,et al.  Hierarchical Performance Modeling With MACS: A Case Study Of The Convex C-240 , 1993, Proceedings of the 20th Annual International Symposium on Computer Architecture.

[47]  Santosh G. Abraham,et al.  Efficient simulation of caches under optimal replacement with applications to miss characterization , 1993, SIGMETRICS '93.

[48]  Rabin A. Sugumar,et al.  Multi-configuration simulation algorithms for the evaluation of computer architecture designs , 1993 .

[49]  Tse-Yu Yeh Two-level adaptive branch prediction and instruction fetch mechanisms for high performance superscalar processors , 1993 .

[50]  David A. Wood,et al.  A Comparison of Trace-Sampling Techniques for Multi-Megabyte Caches , 1994, IEEE Trans. Computers.

[51]  Thomas M. Conte,et al.  The Susceptibility of Programs to Context Switching , 1994, IEEE Trans. Computers.

[52]  S. Peter Song,et al.  The PowerPC 604 RISC microprocessor. , 1994, IEEE Micro.

[53]  Bob Boothe,et al.  Fast accurate simulation of large shared memory multiprocessors , 1993, 1994 Proceedings of the Twenty-Seventh Hawaii International Conference on System Sciences.

[54]  Santosh G. Abraham,et al.  Fast efficient simulation of write-buffer configurations , 1994, 1994 Proceedings of the Twenty-Seventh Hawaii International Conference on System Sciences.

[55]  Janak H. Patel,et al.  Trace driven simulation using sampled traces , 1994, 1994 Proceedings of the Twenty-Seventh Hawaii International Conference on System Sciences.

[56]  Gary Lauterbach Accelerating architectural simulation by parallel execution of trace samples , 1994, 1994 Proceedings of the Twenty-Seventh Hawaii International Conference on System Sciences.

[57]  Ali Poursepanj,et al.  The PowerPC performance modeling methodology , 1994, CACM.

[58]  Santosh G. Abraham,et al.  Set-associative cache simulation using generalized binomial trees , 1995, TOCS.

[59]  Laxmi N. Bhuyan,et al.  High-performance computer architecture , 1995, Future Gener. Comput. Syst..