Floating-Point FPGA: Architecture and Modeling

This paper presents an architecture for a reconfigurable device that is specifically optimized for floating-point applications. Fine-grained units are used for implementing control logic and bit-oriented operations, while parameterized and reconfigurable word-based coarse-grained units incorporating word-oriented lookup tables and floating-point operations are used to implement datapaths. In order to facilitate comparison with existing FPGA devices, the virtual embedded block scheme is proposed to model embedded blocks using existing field-programmable gate array (FPGA) tools. This methodology involves adopting existing FPGA resources to model the size, position, and delay of the embedded elements. The standard design flow offered by FPGA and computer-aided design vendors is then applied and static timing analysis can be used to estimate the performance of the FPGA with the embedded blocks. On selected floating-point benchmark circuits, our results indicate that the proposed architecture can achieve four times improvement in speed and 25 times reduction in area compared with a traditional FPGA device.

[1]  Wayne Luk,et al.  Domain-Specific Hybrid FPGA: Architecture and Floating Point Applications , 2007, 2007 International Conference on Field Programmable Logic and Applications.

[2]  Jonathan Rose,et al.  Synthetic circuit generation using clustering and iteration , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[3]  Maya Gokhale,et al.  Trident: From High-Level Language to Hardware Circuitry , 2007, Computer.

[4]  Vaughn Betz,et al.  Architecture and CAD for Deep-Submicron FPGAS , 1999, The Springer International Series in Engineering and Computer Science.

[5]  Jonathan Rose,et al.  Using bus-based connections to improve field-programmable gate-array density for implementing datapath circuits , 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[6]  Wayne Luk,et al.  A synthesizable datapath-oriented embedded FPGA fabric , 2007, FPGA '07.

[7]  Jonathan Rose,et al.  The effect of LUT and cluster size on deep-submicron FPGA performance and density , 2004 .

[8]  Wayne Luk,et al.  Reconfigurable acceleration for Monte Carlo based financial simulation , 2005, Proceedings. 2005 IEEE International Conference on Field-Programmable Technology, 2005..

[9]  Jef L. van Meerbergen,et al.  An FPGA architecture with enhanced datapath functionality , 2003, FPGA '03.

[10]  A. Ye,et al.  Architecture of datapath-oriented coarse-grain logic and routing for FPGAs , 2003, Proceedings of the IEEE 2003 Custom Integrated Circuits Conference, 2003..

[11]  Scott Hauck,et al.  Totem: Custom Reconfigurable Array Generation , 2001, The 9th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM'01).

[12]  Brent E. Nelson,et al.  Novel Optimizations for Hardware Floating-Point Units in a Modern FPGA Architecture , 2002, FPL.

[13]  Karl S. Hemmert,et al.  Architectural Modifications to Enhance the Floating-Point Performance of FPGAs , 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[14]  Wayne Luk,et al.  Virtual Embedded Blocks: A Methodology for Evaluating Embedded Elements in FPGAs , 2006, 2006 14th Annual IEEE Symposium on Field-Programmable Custom Computing Machines.

[15]  C. Carmichael,et al.  Single event upset suspectibility testing of the Xilinx Virtex II FPGA , 2002 .

[16]  Jonathan Rose,et al.  Measuring the Gap Between FPGAs and ASICs , 2007, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..