Distributed On-Chip Operating System for Network on Chip
暂无分享,去创建一个
Tianzhou Chen | Wei Hu | Binbin Wu | Lihan Ju | Jianliang Ma
[1] Diederik Verkest,et al. Operating-system controlled network on chip , 2004, Proceedings. 41st Design Automation Conference, 2004..
[2] Chita R. Das,et al. MIRA: A Multi-layered On-Chip Interconnect Router Architecture , 2008, 2008 International Symposium on Computer Architecture.
[3] Li-Shiuan Peh,et al. Dynamic power management for power optimization of interconnection networks using on/off links , 2003, 11th Symposium on High Performance Interconnects, 2003. Proceedings..
[4] William J. Dally,et al. A delay model and speculative architecture for pipelined routers , 2001, Proceedings HPCA Seventh International Symposium on High-Performance Computer Architecture.
[5] Jun Yang,et al. A low-radix and low-diameter 3D interconnection network design , 2009, 2009 IEEE 15th International Symposium on High Performance Computer Architecture.
[6] Zonghua Gu,et al. An Efficient Algorithm for Online Management of 2D Area of Partially Reconfigurable FPGAs , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.
[7] Marco Platzner,et al. Fast online task placement on FPGAs: free space partitioning and 2D-hashing , 2003, Proceedings International Parallel and Distributed Processing Symposium.
[8] Chita R. Das,et al. Exploring Fault-Tolerant Network-on-Chip Architectures , 2006, International Conference on Dependable Systems and Networks (DSN'06).
[9] Bruce Walker,et al. The LOCUS distributed operating system , 1983, SOSP '83.
[10] Sharad Malik,et al. Power-driven design of router microarchitectures in on-chip networks , 2003, Proceedings. 36th Annual IEEE/ACM International Symposium on Microarchitecture, 2003. MICRO-36..
[11] Hortensia Mecha,et al. A Low Fragmentation Heuristic for Task Placement in 2D RTR HW Management , 2004, FPL.
[12] C. Nicopoulos,et al. Design and Management of 3D Chip Multiprocessors Using Network-in-Memory , 2006, ISCA 2006.
[13] Adrian Schüpbach,et al. The multikernel: a new OS architecture for scalable multicore systems , 2009, SOSP '09.
[14] Jochen Liedtke,et al. Improving IPC by kernel design , 1994, SOSP '93.
[15] Andrew S. Tanenbaum,et al. Operating systems: design and implementation , 1987, Prentice-Hall software series.
[16] D. Lenoski,et al. The SGI Origin: A ccnuma Highly Scalable Server , 1997, Conference Proceedings. The 24th Annual International Symposium on Computer Architecture.
[17] Krste Asanovic,et al. Replacing global wires with an on-chip network: a power analysis , 2005, ISLPED '05. Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005..
[18] Robbert van Renesse,et al. Amoeba A Distributed Operating System for the 1990 s Sape , 1990 .
[19] Scott Devine,et al. Disco: running commodity operating systems on scalable multiprocessors , 1997, TOCS.
[20] Chita R. Das,et al. A Gracefully Degrading and Energy-Efficient Modular Router Architecture for On-Chip Networks , 2006, 33rd International Symposium on Computer Architecture (ISCA'06).
[21] T. Dumitras,et al. Towards on-chip fault-tolerant communication , 2003, Proceedings of the ASP-DAC Asia and South Pacific Design Automation Conference, 2003..
[22] Simon W. Moore,et al. Low-latency virtual-channel routers for on-chip networks , 2004, Proceedings. 31st Annual International Symposium on Computer Architecture, 2004..
[23] Tobias Bjerregaard,et al. A survey of research and practices of Network-on-chip , 2006, CSUR.
[24] Anant Agarwal,et al. A Unified Operating System for Clouds and Manycore: fos , 2009 .
[25] Krste Asanovic,et al. Globally-Synchronized Frames for Guaranteed Quality-of-Service in On-Chip Networks , 2008, 2008 International Symposium on Computer Architecture.
[26] Natalie D. Enright Jerger,et al. Virtual Circuit Tree Multicasting: A Case for On-Chip Hardware Multicast Support , 2008, 2008 International Symposium on Computer Architecture.
[27] Chita R. Das,et al. A novel dimensionally-decomposed router for on-chip communication in 3D architectures , 2007, ISCA '07.
[28] Majid Sarrafzadeh,et al. Fast Template Placement for Reconfigurable Computing Systems , 2000, IEEE Des. Test Comput..
[29] José Duato,et al. A New Theory of Deadlock-Free Adaptive Routing in Wormhole Networks , 1993, IEEE Trans. Parallel Distributed Syst..
[30] Eby G. Friedman,et al. 3-D Topologies for Networks-on-Chip , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[31] Rajeev Balasubramonian,et al. Leveraging 3D Technology for Improved Reliability , 2007, 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007).
[32] David R. Cheriton,et al. The V distributed system , 1988, CACM.
[33] Andrew S. Tanenbaum,et al. Operating systems - design and implementation, 3rd Edition , 2005 .
[34] Georgi Gaydadjiev,et al. An efficient algorithm for free resources management on the FPGA , 2008, 2008 Design, Automation and Test in Europe.
[35] Yang Zhang,et al. Corey: An Operating System for Many Cores , 2008, OSDI.
[36] Dawson R. Engler,et al. Exokernel: an operating system architecture for application-level resource management , 1995, SOSP.
[37] William J. Bolosky,et al. Mach: A New Kernel Foundation for UNIX Development , 1986, USENIX Summer.