Diffusion-Based Placement Migration With Application on Legalization

Placement migration is the movement of cells within an existing placement to address a variety of postplacement design-closure issues, such as timing, routing congestion, signal integrity, and heat distribution. To fix a design problem, one would like to perturb the design as little as possible while preserving the integrity of the original placement. This paper presents a new diffusion-based placement method based on a discrete approximation to the closed-form solution of the continuous diffusion equation. It has the advantage of smooth spreading, which helps preserve neighborhood characteristics of the original placement. Applying this technique to placement legalization demonstrates significant improvements in wire length and timing compared with other commonly used techniques.

[1]  David Z. Pan,et al.  Sensitivity guided net weighting for placement-driven synthesis , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[2]  Sung-Woo Hur,et al.  Mongrel: hybrid techniques for standard cell placement , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

[3]  Jarrod A. Roy,et al.  Satisfying whitespace requirements in top-down placement , 2006, ISPD '06.

[4]  D. F. Wong,et al.  Simulated Annealing for VLSI Design , 1988 .

[5]  Rob A. Rutenbar,et al.  Large-scale placement by grid-warping , 2004, Proceedings. 41st Design Automation Conference, 2004..

[6]  William H. Press,et al.  Numerical recipes in C , 2002 .

[7]  Andrew B. Kahng,et al.  On legalization of row-based placements , 2004, GLSVLSI '04.

[8]  David Z. Pan,et al.  Diffusion-based placement migration , 2005, Proceedings. 42nd Design Automation Conference, 2005..

[9]  Cheng-Kok Koh,et al.  Floorplan management: incremental placement for gate sizing and buffer insertion , 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..

[10]  Patrick H. Madden,et al.  Fractional cut: improved recursive bisection placement , 2003, ICCAD-2003. International Conference on Computer Aided Design (IEEE Cat. No.03CH37486).

[11]  Frank M. Johannes,et al.  Generic global placement and floorplanning , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).

[12]  Chris C. N. Chu,et al.  FastPlace: efficient analytical placement using cell shifting, iterative local refinement, and a hybrid net model , 2005, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[13]  Majid Sarrafzadeh,et al.  Dragon2000: standard-cell placement tool for large industry circuits , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

[14]  Carl Sechen,et al.  VLSI Placement and Global Routing Using Simulated Annealing , 1988 .

[15]  Jens Vygen,et al.  Almost optimum placement legalization by minimum cost flow and dynamic programming , 2004, ISPD '04.

[16]  Sung-Woo Hur,et al.  Force directed mongrel with physical net constraints , 2003, DAC '03.

[17]  Konrad Doll,et al.  DOMINO: Deterministic Placement Improvement with Hill-Climbing Capabilities , 1991, Conference on Advanced Research in VLSI.

[18]  Majid Sarrafzadeh,et al.  NRG: global and detailed placement , 1997, ICCAD 1997.

[19]  Andrew B. Kahng,et al.  Optimization of linear placements for wirelength minimization with free sites , 1999, Proceedings of the ASP-DAC '99 Asia and South Pacific Design Automation Conference 1999 (Cat. No.99EX198).

[20]  David Z. Pan,et al.  Computational geometry based placement migration , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

[21]  David Z. Pan,et al.  True crosstalk aware incremental placement with noise map , 2004, ICCAD 2004.