Influence of stoichiometry on the performance of MIM capacitors from plasma‐assisted ALD SrxTiyOz films

Strontium titanate, SrxTiyOz (STO), thin films with various cation stoichiometries were deposited by plasma‐assisted atomic layer deposition (ALD) using cyclopentadienyl‐based metal precursors and oxygen plasma as counter‐reactant. [Sr]/([Sr] + [Ti]) compositions ranging from 0.46 to 0.57 were obtained by changing the (SrO)/(TiO2) ALD cycle ratios. As‐deposited 15–30 nm thick SrxTiyOz films prepared at 350 °C on Pt‐coated silicon substrates were amorphous. Post‐annealing at 600/650 °C for 10 min under N2 gas resulted in a crystallization into the perovskite phase. Stoichiometric STO and Sr‐rich STO films exhibited a certain degree of (111) texture while the Ti‐rich STO films showed a lower degree of crystallization. Crystallized layers exhibited a smaller band gap Eg than amorphous ones, while within the stoichiometry series the value of Eg increased with increasing Sr‐content. Within the stoichiometry series Pt/STO/Pt structures with Sr‐rich STO films showed the lowest leakage current densities. At 1.0 V values of about 2 × 10−8 and 5 × 10−6 A cm−2 were obtained for the as‐deposited and the annealed films, respectively. Highest capacitance density was obtained for 15 nm polycrystalline stoichiometric SrTiO3 films resulting in a capacitor equivalent thickness CET of about 0.7 nm. Pt/SrxTiyOz/Pt capacitors with the STO being in amorphous state exhibited a positive voltage nonlinearity factor α of about 400 ppm V−2, while the negative α‐values for crystallized films showed a systematic variation with the stoichiometry, the degree of crystallization and the thickness of the STO layer. This demonstrates that a broad performance range of MIM capacitors is accessible by controlling the stoichiometry and the degree of crystallization of plasma‐assisted ALD SrxTiyOz thin films.

[1]  F. Roozeboom,et al.  Crystallization study by transmission electron microscopy of SrTiO3 thin films prepared by plasma-assisted ALD , 2013 .

[2]  C. Hwang,et al.  Atomic Layer Deposition of SrTiO3 Films with Cyclopentadienyl-Based Precursors for Metal–Insulator–Metal Capacitors , 2013 .

[3]  C. Wenger,et al.  Properties of stacked SrTiO3/Al2O3 metal–insulator–metal capacitors , 2013 .

[4]  F. Roozeboom,et al.  Plasma-Assisted Atomic Layer Deposition of SrTiO3: Stoichiometry and Crystallinity Study by Spectroscopic Ellipsometry , 2011, ECS Transactions.

[5]  C. Wenger,et al.  Metal-Insulator-Metal capacitors with ALD grown SrTiO3: Influence of Pt electrodes , 2012 .

[6]  C. Wenger,et al.  Textured strontium titanate layers on platinum by atomic layer deposition , 2012 .

[7]  E. Rosseel,et al.  Impact of thermal treatment upon morphology and crystallinity of strontium titanate films deposited by atomic layer deposition , 2011 .

[8]  L. Oberbeck,et al.  SrTiO3 thin film capacitors on silicon substrates with insignificant interfacial passive layers , 2010 .

[9]  Sang Woon Lee,et al.  Capacitors with an Equivalent Oxide Thickness of <0.5 nm for Nanoscale Electronic Semiconductor Memory , 2010 .

[10]  H. Bender,et al.  Composition influence on the physical and electrical properties of SrxTi1-xOy-based metal-insulator-metal capacitors prepared by atomic layer deposition using TiN bottom electrodes , 2009 .

[11]  P. Gonon,et al.  High performance metal-insulator-metal capacitor using a SrTiO3/ZrO2 bilayer , 2009 .

[12]  J. Roh,et al.  Enhanced Dielectric Properties of SrTiO3 Films with a SrRuO3 Seed by Plasma-Enhanced Atomic Layer Deposition , 2009 .

[13]  C. Hwang,et al.  Enhanced electrical properties of SrTiO3 thin films grown by atomic layer deposition at high temperature for dynamic random access memory applications , 2008 .

[14]  C. Wenger,et al.  Microscopic model for the nonlinear behavior of high-k metal-insulator-metal capacitors , 2008 .

[15]  K.C. Chiang,et al.  High-Performance$hboxSrTiO_3$MIM Capacitors for Analog Applications , 2006, IEEE Transactions on Electron Devices.

[16]  R. York,et al.  Modeling the capacitive nonlinearity in thin-film BST varactors , 2005, IEEE Transactions on Microwave Theory and Techniques.

[17]  C. Ang,et al.  dc electric-field dependence of the dielectric constant in polar dielectrics: Multipolarization mechanism model , 2004 .

[18]  Rainer Waser,et al.  Nanoelectronics and Information Technology: Advanced Electronic Materials and Novel Devices , 2003 .

[19]  Nobuyasu Mizutani,et al.  Band-gap energies of sol-gel-derived SrTiO3 thin films , 2001 .

[20]  R. Mckee,et al.  Physical structure and inversion charge at a semiconductor interface with a crystalline oxide. , 2001, Science.

[21]  M. Ritala,et al.  Atomic Layer Deposition of SrTiO3 Thin Films from a Novel Strontium Precursor–Strontium‐bis(tri‐isopropyl cyclopentadienyl) , 2001 .

[22]  A. Thölén,et al.  Transmission electron microscopy studies of the specific structure of crystals formed by phase transition in iron oxide amorphous films , 2000 .

[23]  Norman Herron,et al.  Nanometer-sized semiconductor clusters: materials synthesis, quantum size effects, and photophysical properties , 1991 .

[24]  Hodes,et al.  Three-dimensional quantum-size effect in chemically deposited cadmium selenide films. , 1987, Physical review. B, Condensed matter.