Tejas: A java based versatile micro-architectural simulator
暂无分享,去创建一个
Prathmesh Kallurkar | Smruti R. Sarangi | Rajshekar Kalayappan | Seep Goel | Eldhose Peter | S. Sarangi | Rajshekar Kalayappan | E. Peter | Seep Goel | Prathmesh Kallurkar
[1] Somayeh Sardashti,et al. The gem5 simulator , 2011, CARN.
[2] Lieven Eeckhout,et al. Sniper: Exploring the level of abstraction for scalable and accurate parallel multi-core simulation , 2011, 2011 International Conference for High Performance Computing, Networking, Storage and Analysis (SC).
[3] Shobhit Kanaujia,et al. FastMP: A Multi-core Simulation Methodology , 2006 .
[4] Donald B. Alpert,et al. Architecture of the Pentium microprocessor , 1993, IEEE Micro.
[5] Shunfei Chen,et al. MARSS: A full system simulator for multicore x86 CPUs , 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).
[6] Andrew B. Kahng,et al. ORION 2.0: A fast and accurate NoC power and area model for early-stage design space exploration , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.
[7] Matt T. Yourst. PTLsim: A Cycle Accurate Full System x86-64 Microarchitectural Simulator , 2007, 2007 IEEE International Symposium on Performance Analysis of Systems & Software.
[8] Ronald G. Dreslinski,et al. Sources of error in full-system simulation , 2014, 2014 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).
[9] David R. Kaeli,et al. Multi2Sim: A simulation framework for CPU-GPU computing , 2012, 2012 21st International Conference on Parallel Architectures and Compilation Techniques (PACT).
[10] Fabrice Bellard,et al. QEMU, a Fast and Portable Dynamic Translator , 2005, USENIX ATC, FREENIX Track.
[11] Harish Patil,et al. Pin: building customized program analysis tools with dynamic instrumentation , 2005, PLDI '05.
[12] Jung Ho Ahn,et al. McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[13] Jose Renau,et al. ESESC: A fast multicore simulator using Time-Based Sampling , 2013, 2013 IEEE 19th International Symposium on High Performance Computer Architecture (HPCA).
[14] Smruti R. Sarangi,et al. GpuTejas: A parallel simulator for GPU architectures , 2014, 2014 21st International Conference on High Performance Computing (HiPC).
[15] Pierre Michaud,et al. A case for (partially) TAgged GEometric history length branch prediction , 2006, J. Instr. Level Parallelism.
[16] Todd M. Austin,et al. SimpleScalar: An Infrastructure for Computer System Modeling , 2002, Computer.
[17] Smruti R. Sarangi,et al. ParTejas , 2017, ACM Trans. Model. Comput. Simul..
[18] George Kurian,et al. Graphite: A distributed parallel simulator for multicores , 2010, HPCA - 16 2010 The Sixteenth International Symposium on High-Performance Computer Architecture.
[19] Lieven Eeckhout,et al. Sniper: scalable and accurate parallel multi-core simulation , 2012 .
[20] Sudhakar Yalamanchili,et al. Ocelot: A dynamic optimization framework for bulk-synchronous applications in heterogeneous systems , 2010, 2010 19th International Conference on Parallel Architectures and Compilation Techniques (PACT).
[21] Christoforos E. Kozyrakis,et al. ZSim: fast and accurate microarchitectural simulation of thousand-core systems , 2013, ISCA.