Quadratic placement using an improved timing model

The performance of timing-driven placement methods depends strongly on the choice of the net model. In this paper a more precise net model is presented that does not increase numerical complexity. We introduce a method that replaces the clique model of a net by a tree model in the quadratic placement formulation. This improvement enables us to control the length of every tree segment separately. Furthermore, we present an analysis of the effects of every tree segment to the net delay. The result is in turn used to control the placement engine. Our presented results are based on legal placements. They show significant improvements over state-of-the art methods.

[1]  Massoud Pedram,et al.  Timing-driven placement based on partitioning with dynamic cut-net control , 2000, DAC.

[2]  Konrad Doll,et al.  Iterative placement improvement by network flow methods , 1994, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[3]  Sung-Woo Hur,et al.  Force directed mongrel with physical net constraints , 2003, DAC '03.

[4]  Andrew B. Kahng,et al.  Min-max placement for large-scale timing optimization , 2002, ISPD '02.

[5]  Carl Sechen,et al.  Timing Driven Placement for Large Standard Cell Circuits , 1995, 32nd Design Automation Conference.

[6]  Bernhard M. Riess,et al.  SPEED: fast and efficient timing driven placement , 1995, Proceedings of ISCAS'95 - International Symposium on Circuits and Systems.

[7]  Majid Sarrafzadeh,et al.  Timing-driven placement using design hierarchy guided constraint generation , 2002, ICCAD 2002.

[8]  Georg Sigl,et al.  GORDIAN: VLSI placement by quadratic programming and slicing optimization , 1991, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[9]  M. Hanan,et al.  On Steiner’s Problem with Rectilinear Distance , 1966 .

[10]  Jens Vygen,et al.  Algorithms for large-scale flat placement , 1997, DAC.

[11]  W. C. Elmore The Transient Response of Damped Linear Networks with Particular Regard to Wideband Amplifiers , 1948 .

[12]  Frank M. Johannes,et al.  Generic global placement and floorplanning , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).

[13]  C. Y. Roger Chen,et al.  Timing driven placement using physical net constraints , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[14]  Youn-Long Lin,et al.  A performance-driven standard-cell placer based on a modified force-directed algorithm , 2001, ISPD '01.