An asynchronous NOC architecture providing low latency service and its multi-level design framework

The demands of scalable, low latency and power efficient system-on-chip interconnect cannot only be satisfied by point-to-point or shared-bus interconnects. In this paper, we propose a new asynchronous network-on-chip (NOC) architecture which provides low latency transfers. This architecture is implemented as a GALS system, where chip units are built as synchronous islands, connected together using a delay insensitive asynchronous network-on-chip topology. The proposed NOC protocol and its asynchronous implementation are presented as well as the multi-level modeling approach using SystemC language and transaction-level-modeling. Preliminary simulation results show that the asynchronous NOC can offer 5 Gbytes/s throughput in a 0.13 /spl mu/m CMOS technology.

[1]  Ge-Ming Chiu,et al.  The Odd-Even Turn Model for Adaptive Routing , 2000, IEEE Trans. Parallel Distributed Syst..

[2]  Andrew Lines Nexus: an asynchronous crossbar interconnect for synchronous system-on-chip designs , 2003, 11th Symposium on High Performance Interconnects, 2003. Proceedings..

[3]  Laurent Fesquet,et al.  Synthesis of QDI Asynchronous Circuits from DTL-Style Petri-Net , 2002, IWLS.

[4]  Gilles Sicard,et al.  Statistic Implementation of QDI Asynchronous Primitives , 2003, PATMOS.

[5]  Eli Upfal,et al.  A theory of wormhole routing in parallel computers , 1992, Proceedings., 33rd Annual Symposium on Foundations of Computer Science.

[6]  Eli Upfal,et al.  A Theory of Wormhole Routing in Parallel Computers , 1996, IEEE Trans. Computers.

[7]  Kees G. W. Goossens,et al.  Trade Offs in the Design of a Router with Both Guaranteed and Best-Effort Services for Networks on Chip , 2003, DATE.

[8]  Laurent Maillet-Contoz,et al.  Using transactional level models in a SoC design flow , 2003 .

[9]  Wolfgang Rosenstiel,et al.  SystemC: methodologies and applications , 2003 .

[10]  Marc Renaudin,et al.  ASPRO-216: a standard-cell Q.D.I. 16-bit RISC asynchronous microprocessor , 1998, Proceedings Fourth International Symposium on Advanced Research in Asynchronous Circuits and Systems.

[11]  Laurent Fesquet,et al.  Modeling and Design of Asynchronous Priority Arbiters for On-Chip Communication Systems , 2001, VLSI-SOC.

[12]  Ran Ginosar,et al.  Data synchronization issues in GALS SoCs , 2004, 10th International Symposium on Asynchronous Circuits and Systems, 2004. Proceedings..

[13]  Marly Roncken,et al.  The VLSI-programming language Tangram and its translation into handshake circuits , 1991, Proceedings of the European Conference on Design Automation..

[14]  Marc Renaudin,et al.  A design framework for asynchronous/synchronous circuits based on CHP to HDL translation , 1999, Proceedings. Fifth International Symposium on Advanced Research in Asynchronous Circuits and Systems.

[15]  Stephen B. Furber,et al.  Chain: A Delay-Insensitive Chip Area Interconnect , 2002, IEEE Micro.

[16]  Andrew Bardsley,et al.  Compiling the language Balsa to delay insensitive hardware , 1997 .

[17]  Alain J. Martin Programming in VLSI: from communicating processes to delay-insensitive circuits , 1991 .

[18]  Axel Jantsch,et al.  Networks on chip , 2003 .

[19]  Luciano Lavagno,et al.  Automated synthesis of micro-pipelines from behavioral Verilog HDL , 2000, Proceedings Sixth International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC 2000) (Cat. No. PR00586).

[20]  Steven M. Nowick,et al.  Robust interfaces for mixed-timing systems , 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.