KAPow: A System Identification Approach to Online Per-Module Power Estimation in FPGA Designs

In a modern FPGA system-on-chip design, it is often insufficient to simply assess the total power consumption of the entire circuit by design-time estimation or runtime power rail measurement. Instead, to make better runtime decisions, it is desirable to understand the power consumed by each individual module in the system. In this work, we combine board-level power measurements with register-level activity counting to build an online model that produces a breakdown of power consumption within the design. Online model refinement avoids the need for a time-consuming characterisation stage and also allows the model to track long-term changes to operating conditions. Our flow is named KAPow, a (loose) acronym for 'K'ounting Activity for Power estimation, which we show to be accurate, with per-module power estimates as close to ±5mW of true measurements, and to have low overheads. We also demonstrate an application example in which a per-module power breakdown can be used to determine an efficient mapping of tasks to modules and reduce system-wide power consumption by over 8%.

[1]  Biao Huang,et al.  System Identification , 2000, Control Theory for Physicists.

[2]  Farid N. Najm,et al.  A survey of power estimation techniques in VLSI circuits , 1994, IEEE Trans. Very Large Scale Integr. Syst..

[3]  Steven J. E. Wilton,et al.  Scalable Signal Selection for Post-Silicon Debug , 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[4]  Petre Stoica,et al.  Decentralized Control , 2018, The Control Systems Handbook.

[5]  Steven J. E. Wilton,et al.  Activity Estimation for Field-Programmable Gate Arrays , 2006, 2006 International Conference on Field Programmable Logic and Applications.

[6]  Mohamad Najem,et al.  Method for dynamic power monitoring on FPGAs , 2014, 2014 24th International Conference on Field Programmable Logic and Applications (FPL).

[7]  Vaughn Betz,et al.  Titan: Enabling large and complex benchmarks in academic CAD , 2013, 2013 23rd International Conference on Field programmable Logic and Applications.

[8]  Peter Y. K. Cheung,et al.  Online Measurement of Timing in Circuits: For Health Monitoring and Dynamic Voltage & Frequency Scaling , 2012, 2012 IEEE 20th International Symposium on Field-Programmable Custom Computing Machines.

[9]  Jason Helge Anderson,et al.  Power estimation techniques for FPGAs , 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[10]  Sandeep K. Shukla,et al.  High Level Power Estimation Models for FPGAs , 2011, 2011 IEEE Computer Society Annual Symposium on VLSI.