Impact of Process and Temperature Variations on Network-on-Chip Design Exploration
暂无分享,去创建一个
[1] Li-Shiuan Peh,et al. Leakage power modeling and optimization in interconnection networks , 2003, ISLPED '03.
[2] A. Alvandpour,et al. A 5.1GHz 0.34mm2 Router for Network-on-Chip Applications , 2007, 2007 IEEE Symposium on VLSI Circuits.
[3] David Blaauw,et al. Parametric yield estimation considering leakage variability , 2004, Proceedings. 41st Design Automation Conference, 2004..
[4] Anantha Chandrakasan,et al. Full-chip sub-threshold leakage power prediction model for sub-0.18 μm CMOS , 2002, ISLPED '02.
[5] Saurabh Dighe,et al. An 80-Tile 1.28TFLOPS Network-on-Chip in 65nm CMOS , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.
[6] Sachin S. Sapatnekar,et al. Full-chip analysis of leakage power under process variations, including spatial correlations , 2005, Proceedings. 42nd Design Automation Conference, 2005..
[7] Kaushik Roy,et al. Modeling and estimation of total leakage current in nano-scaled CMOS devices considering the effect of parameter variation , 2003, ISLPED '03.
[8] Emil Talpes,et al. Energy awareness and uncertainty in microarchitecture-level design , 2005, IEEE Micro.
[9] Kaustav Banerjee,et al. Subthreshold leakage power distribution considering within-die and die-to-die P-T-V variations , 2004, Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758).
[10] Li Shang,et al. Adaptive Chip-Package Thermal Analysis for Synthesis and Design , 2006, Proceedings of the Design Automation & Test in Europe Conference.
[11] Kevin Skadron,et al. HotLeakage: A Temperature-Aware Model of Subthreshold and Gate Leakage for Architects , 2003 .
[12] Sani R. Nassif,et al. Full chip leakage estimation considering power supply and temperature variations , 2003, ISLPED '03.
[13] Kevin Skadron,et al. Impact of Parameter Variations on Multi-Core Chips , 2006 .
[14] Saraju P. Mohanty,et al. Low-Power High-Level Synthesis for Nanoscale CMOS Circuits , 2008 .
[15] William J. Dally,et al. Route packets, not wires: on-chip inteconnection networks , 2001, DAC '01.
[16] Luca Benini,et al. Networks on Chips : A New SoC Paradigm , 2022 .
[17] Gurindar S. Sohi,et al. A static power model for architects , 2000, MICRO 33.
[18] David Blaauw,et al. Accurate and efficient gate-level parametric yield estimation considering correlated variations in leakage power and performance , 2005, Proceedings. 42nd Design Automation Conference, 2005..
[19] Li-Shiuan Peh,et al. Polaris: A System-Level Roadmapping Toolchain for On-Chip Interconnection Networks , 2007, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[20] Vivek De,et al. Variations-aware low-power design with voltage scaling , 2005, Proceedings. 42nd Design Automation Conference, 2005..
[21] Sharad Malik,et al. Orion: a power-performance simulator for interconnection networks , 2002, 35th Annual IEEE/ACM International Symposium on Microarchitecture, 2002. (MICRO-35). Proceedings..
[22] Vivek De,et al. Effectiveness of reverse body bias for leakage control in scaled dual Vt CMOS ICs , 2001, ISLPED '01.
[23] Sujit Dey,et al. Considering Process Variations During System-Level Power Analysis , 2006, ISLPED'06 Proceedings of the 2006 International Symposium on Low Power Electronics and Design.
[24] Li-Shiuan Peh,et al. A Statistical Traffic Model for On-Chip Interconnection Networks , 2006, 14th IEEE International Symposium on Modeling, Analysis, and Simulation.
[25] Axel Jantsch,et al. Networks on chip , 2003 .
[26] Vivek De,et al. Adaptive body bias for reducing impacts of die-to-die and within-die parameter variations on microprocessor frequency and leakage , 2002, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315).
[27] William J. Dally,et al. A delay model and speculative architecture for pipelined routers , 2001, Proceedings HPCA Seventh International Symposium on High-Performance Computer Architecture.
[28] Kevin Skadron,et al. Toward an Architectural Treatment of Parameter Variations , 2005 .
[29] David Wentzlaff,et al. Energy characterization of a tiled architecture processor with on-chip networks , 2003, ISLPED '03.
[30] Costas J. Spanos,et al. Modeling within-die spatial correlation effects for process-design co-optimization , 2005, Sixth international symposium on quality electronic design (isqed'05).
[31] Duane S. Boning,et al. Analysis and decomposition of spatial variation in integrated circuit processes and devices , 1997 .
[32] Li-Shiuan Peh,et al. High-level power analysis for on-chip networks , 2004, CASES '04.
[33] Sharad Malik,et al. Power-driven design of router microarchitectures in on-chip networks , 2003, Proceedings. 36th Annual IEEE/ACM International Symposium on Microarchitecture, 2003. MICRO-36..