Variation and power issues in VLSI clock networks

Variation and Power Issues in VLSI Clock Networks. (May 2007) Ganesh Venkataraman, B. E. (Hons), Birla Institute of Technology and Science; M. Sc. (Hons), Birla Institute of Technology and Science; M. S., University of Iowa Chair of Advisory Committee: Dr. Jiang Hu Clock Distribution Network (CDN) is an important component of any synchronous logic circuit. The function of CDN is to deliver the clock signal to the clock sinks. Clock skew is defined as the difference in the arrival time of the clock signal at the clock sinks. Higher uncertainty in skew (due to PVT variations) degrades circuit performance by decreasing the maximum possible delay between any two sequential elements. Aggressive frequency scaling has also led to high power consumption especially in CDN. This dissertation addresses variation and power issues in the design of current and potential future CDN. The research detailed in this work presents algorithmic techniques for the following problems: (1) Variation tolerance in useful skew design, (2) Link insertion for buffered clock nets, (3) Methodology and algorithms for rotary clocking and (4) Clock mesh optimization for skew-power trade off. For clock trees this dissertation presents techniques to integrate the different aspects of clock tree synthesis (skew scheduling, abstract topology and layout embedding) into one framework tolerance to variations. This research addresses the issues involved in inserting cross-links in a buffered clock tree and proposes design criteria to avoid the risk of short-circuit current. Rotary clocking is a promising new clocking scheme that consists of unterminated rings formed by differential transmission lines. Rotary clocking achieves reduction in power dissipation clock skew. This dissertation addresses the issues in adopting current CAD methodology to rotary clocks. Alter-

[1]  David Z. Pan,et al.  Variation tolerant buffered clock network synthesis with cross links , 2006, ISPD '06.

[2]  S. Nguyen,et al.  Implementation of a 3rd-generation SPARC V9 64 b microprocessor , 2000, 2000 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.00CH37056).

[3]  Sachin S. Sapatnekar,et al.  A graph-theoretic approach to clock skew optimization , 1994, Proceedings of IEEE International Symposium on Circuits and Systems - ISCAS '94.

[4]  B. Korte,et al.  Clock scheduling and clocktree construction for high performance ASICs , 2003, ICCAD-2003. International Conference on Computer Aided Design (IEEE Cat. No.03CH37486).

[5]  Sachin S. Sapatnekar,et al.  Hybrid structured clock network construction , 2001, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).

[6]  Vivek Tiwari,et al.  Reducing power in high-performance microprocessors , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).

[7]  Wei Li,et al.  Buffer insertion for clock delay and skew minimization , 1999, ISPD '99.

[8]  Ren-Song Tsay,et al.  An exact zero-skew clock routing algorithm , 1993, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[9]  Jan-Ming Ho,et al.  Zero skew clock routing with minimum wirelength , 1992 .

[10]  Peng Li,et al.  Practical techniques to reduce skew and its variations in buffered clock networks , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

[11]  Resve A. Saleh,et al.  Clock skew verification in the presence of IR-drop in the powerdistribution network , 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[12]  Cheng-Kok Koh,et al.  UST/DME: a clock tree router for general skew constraints , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

[13]  Masato Edahiro,et al.  A Clustering-Based Optimization Algorithm in Zero-Skew Routings , 1993, 30th ACM/IEEE Design Automation Conference.

[14]  Jens Vygen,et al.  Cycle time and slack optimization for VLSI-chips , 1999, 1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).

[15]  Joseph R. Shinnerl,et al.  An enhanced multilevel algorithm for circuit placement , 2003, ICCAD-2003. International Conference on Computer Aided Design (IEEE Cat. No.03CH37486).

[16]  Frank M. Johannes,et al.  Generic global placement and floorplanning , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).

[17]  Jiang Hu,et al.  Process variation aware clock tree routing , 2003, ISPD '03.

[18]  Y.H. Chan,et al.  609 MHz G5 S/399 microprocessor , 1999, 1999 IEEE International Solid-State Circuits Conference. Digest of Technical Papers. ISSCC. First Edition (Cat. No.99CH36278).

[19]  Andrew B. Kahng,et al.  Q-Tree: a new iterative improvement approach for buffered interconnect optimization , 2003, IEEE Computer Society Annual Symposium on VLSI, 2003. Proceedings..

[20]  Walid Ben-Ameur,et al.  Constrained length connectivity and survivable networks , 2000, Networks.

[21]  Jeng-Liang Tsai,et al.  A yield improvement methodology using pre- and post-silicon statistical clock scheduling , 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..

[22]  Jiang Hu,et al.  A simple yet effective merging scheme for prescribed-skew clock routing , 2003, Proceedings 21st International Conference on Computer Design.

[23]  Sachin S. Sapatnekar,et al.  Accurate estimation of global buffer delay within a floorplan , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[24]  Ali Ridha Mahjoub,et al.  Design of Survivable Networks: A survey , 2005, Networks.

[25]  Lei He,et al.  Full-Chip Interconnect Power Estimation and Simulation Considering Concurrent Repeater and Flip-Flop Insertion , 2003, ICCAD 2003.

[26]  Roland Wunderling,et al.  Paralleler und objektorientierter Simplex-Algorithmus , 1996 .

[27]  P. R. Stephan,et al.  SIS : A System for Sequential Circuit Synthesis , 1992 .

[28]  Eby G. Friedman,et al.  Clock skew scheduling for improved reliability via quadratic programming , 1999, 1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).

[29]  K.L. Shepard,et al.  Uniform-phase uniform-amplitude resonant-load global clock distributions , 2005, IEEE Journal of Solid-State Circuits.

[30]  Noel Menezes,et al.  Repeater scaling and its impact on CAD , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[31]  Sachin S. Sapatnekar,et al.  Statistical timing analysis considering spatial correlations using a single PERT-like traversal , 2003, ICCAD-2003. International Conference on Computer Aided Design (IEEE Cat. No.03CH37486).

[32]  K.A. Jenkins,et al.  The clock distribution of the Power4 microprocessor , 2002, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315).

[33]  Xun Liu,et al.  Power analysis of rotary clock , 2005, IEEE Computer Society Annual Symposium on VLSI: New Frontiers in VLSI Design (ISVLSI'05).

[34]  John P. Fishburn,et al.  Clock Skew Optimization , 1990, IEEE Trans. Computers.

[35]  Jeremy C. Wyatt Signal delay in rc mesh networks , 1985 .

[36]  Malgorzata Marek-Sadowska,et al.  Clock network sizing via sequential linear programming with time-domain analysis , 2004, ISPD '04.

[37]  W.-M. Dai Joe G. Xi Buffer Insertion and Sizing Under Process Variations for Low Power Clock Distribution , 1995, 32nd Design Automation Conference.

[38]  Vijay V. Vazirani,et al.  Approximation Algorithms , 2001, Springer Berlin Heidelberg.

[39]  Chandu Visweswariah,et al.  Death, taxes and failing chips , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

[40]  K.L. Wong,et al.  Scalable sub-10ps skew global clock distribution for a 90nm multi-GHz IA microprocessor , 2003, 2003 IEEE International Solid-State Circuits Conference, 2003. Digest of Technical Papers. ISSCC..

[41]  Jiang Hu,et al.  Reducing clock skew variability via cross links , 2004, Proceedings. 41st Design Automation Conference, 2004..

[42]  Jiang Hu,et al.  Buffered clock tree for high quality IC design , 2004, International Symposium on Signals, Circuits and Systems. Proceedings, SCS 2003. (Cat. No.03EX720).

[43]  Kamal Jain,et al.  A Factor 2 Approximation Algorithm for the Generalized Steiner Network Problem , 1998, Proceedings 39th Annual Symposium on Foundations of Computer Science (Cat. No.98CB36280).

[44]  David K. Smith Network Flows: Theory, Algorithms, and Applications , 1994 .

[45]  Mark Horowitz,et al.  Signal Delay in RC Tree Networks , 1983, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[46]  Ying Liu,et al.  Impact of interconnect variations on the clock skew of a gigahertz microprocessor , 2000, DAC.

[47]  Yongqiang Lyu,et al.  Navigating registers in placement for clock network minimization , 2005, Proceedings. 42nd Design Automation Conference, 2005..

[48]  Jiang Hu,et al.  Improved algorithms for link-based non-tree clock networks for skew variability reduction , 2005, ISPD '05.

[49]  Enrico Macii,et al.  Power-aware clock tree planning , 2004, ISPD '04.

[50]  Marios C. Papaefthymiou,et al.  Reduced delay uncertainty in high performance clock distribution networks , 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.