Automated I/O Library Generation for Interposer-Based System-in-Package Integration of Multiple Heterogeneous Dies

System-in-package (SiP) integration of multiple dies in a single package can achieve much higher performance than onboard integration of integrated circuits (ICs) while reducing the design cost/effort compared to a large system on chips (SoCs). However, a major challenge in the design of SiPs with many dies is automated design and insertion of input/output (I/O) cells to minimize energy and delay of the wire traces. This article presents an automated cell library generation flow for all-digital I/O circuits for SiP integration. Given parameterized models of SiP wire traces, our method automatically designs, optimizes, and generates layouts of I/O cells for delay/energy minimization. The proposed flow is demonstrated on interposer-based SiP integration considering 28-nm CMOS technology and 65-nm BEOL technology. Given a multidie SiP design and associated interposer wire traces, this article demonstrates that automated I/O library cell generation can reduce the maximum die-to-die communication delay or energy. We demonstrate the proposed flow for various interposer parameters and SiP designs to show the feasibility of chip-interposer codesign.

[1]  Georges G. E. Gielen,et al.  Emerging Yield and Reliability Challenges in Nanometer CMOS Technologies , 2008, 2008 Design, Automation and Test in Europe.

[2]  Madhavan Swaminathan,et al.  A Bayesian Framework for Optimizing Interconnects in High-Speed Channels , 2018, 2018 IEEE MTT-S International Conference on Numerical Electromagnetic and Multiphysics Modeling and Optimization (NEMO).

[3]  Shunli Ma,et al.  High-speed and low-power 2.5D I/O circuits for memory-logic-integration by through-silicon interposer , 2013, 2013 IEEE International 3D Systems Integration Conference (3DIC).

[4]  Min-Jer Wang,et al.  An extra low-power 1Tbit/s bandwidth PLL/DLL-less eDRAM PHY using 0.3V low-swing IO for 2.5D CoWoS application , 2013, 2013 Symposium on VLSI Technology.

[5]  Byungsub Kim,et al.  Current-Mode Transceiver for Silicon Interposer Channel , 2014, IEEE Journal of Solid-State Circuits.

[6]  Patrick Dorsey Xilinx Stacked Silicon Interconnect Technology Delivers Breakthrough FPGA Capacity, Bandwidth, and Power Efficiency , 2010 .

[7]  K. Saban Xilinx Stacked Silicon Interconnect Technology Delivers Breakthrough FPGA Capacity , Bandwidth , and Power Efficiency , 2009 .

[8]  Luca Benini,et al.  Design Issues and Considerations for Low-Cost 3-D TSV IC Technology , 2010, IEEE Journal of Solid-State Circuits.

[9]  Rao Tummala,et al.  Modeling, design, and demonstration of 2.5D glass interposers for 16-channel 28 Gbps signaling applications , 2015, 2015 IEEE 65th Electronic Components and Technology Conference (ECTC).

[10]  Minkyu Je,et al.  Design of an On-Silicon-Interposer Passive Equalizer for Next Generation High Bandwidth Memory With Data Rate Up To 8 Gb/s , 2018, IEEE Transactions on Circuits and Systems I: Regular Papers.

[11]  M. Swaminathan,et al.  Automated Generation of All-Digital 1/0 Library Cells for System-In-Package Integration of Multiple Dies , 2018, 2018 IEEE 27th Conference on Electrical Performance of Electronic Packaging and Systems (EPEPS).

[12]  M. Koyanagi,et al.  New heterogeneous multi-chip module integration technology using self-assembly method , 2008, 2008 IEEE International Electron Devices Meeting.

[13]  Dan Oh,et al.  Timing analysis for wide IO memory interface applications with silicon interposer , 2014, 2014 IEEE International Symposium on Electromagnetic Compatibility (EMC).

[14]  Krishna Parat,et al.  A floating gate based 3D NAND technology with CMOS under array , 2015, 2015 IEEE International Electron Devices Meeting (IEDM).

[15]  Madhavan Swaminathan,et al.  High-Dimensional Global Optimization Method for High-Frequency Electronic Design , 2019, IEEE Transactions on Microwave Theory and Techniques.

[16]  Mani B. Srivastava,et al.  A survey of techniques for energy efficient on-chip communication , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

[17]  Justin Schauer,et al.  High Speed and Low Energy Capacitively Driven On-Chip Wires , 2008, IEEE Journal of Solid-State Circuits.

[18]  Hoi-Jun Yoo,et al.  A 0.6pJ/b 3Gb/s/ch transceiver in 0.18 μm CMOS for 10mm on-chip interconnects , 2008, 2008 IEEE International Symposium on Circuits and Systems.

[19]  H. C. Lin,et al.  3D IC heterogeneous integration of GPS RF receiver, baseband, and DRAM on CoWoS with system BIST solution , 2013, 2013 Symposium on VLSI Circuits.

[20]  V. Sundaram,et al.  Low-cost and low-loss 3D silicon interposer for high bandwidth logic-to-memory interconnections without TSV in the logic IC , 2012, 2012 IEEE 62nd Electronic Components and Technology Conference.

[21]  David Blaauw,et al.  High-bandwidth and low-energy on-chip signaling with adaptive pre-emphasis in 90nm CMOS , 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).

[22]  David Blaauw,et al.  A 95fJ/b current-mode transceiver for 10mm on-chip interconnect , 2013, 2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers.

[23]  Gerhard Fettweis,et al.  A source-synchronous 90Gb/s capacitively driven serial on-chip link over 6mm in 65nm CMOS , 2012, 2012 IEEE International Solid-State Circuits Conference.

[24]  Carl E. Rasmussen,et al.  Additive Gaussian Processes , 2011, NIPS.

[25]  Ivo Bolsens Pushing the boundaries of Moore's Law to transition from FPGA to All Programmable Platform , 2017, ISPD.

[26]  Sung Kyu Lim,et al.  Architecture, Chip, and Package Co-design Flow for 2.5D IC Design Enabling Heterogeneous IP Reuse , 2019, 2019 56th ACM/IEEE Design Automation Conference (DAC).

[27]  Hao Yu,et al.  A Q-Learning Based Self-Adaptive I/O Communication for 2.5D Integrated Many-Core Microprocessor and Memory , 2016, IEEE Transactions on Computers.

[28]  George Varghese,et al.  Low-swing on-chip signaling techniques: effectiveness and robustness , 2000, IEEE Trans. Very Large Scale Integr. Syst..