NVSim-CAM: A circuit-level simulator for emerging nonvolatile memory based Content-Addressable Memory
暂无分享,去创建一个
Cong Xu | Liu Liu | Yuan Xie | Shuangchen Li | Peng Gu | L. Liu | Cong Xu | Shuangchen Li | P. Gu | Yuan Xie
[1] Chung-Hsun Huang,et al. Design of high-performance CMOS priority encoders and incrementer/decrementers using multilevel lookahead and multilevel folding techniques , 2002 .
[2] Timothy Sherwood,et al. Ternary CAM Power and Delay Model: Extensions and Uses , 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[3] Norman P. Jouppi,et al. CACTI 6.0: A Tool to Model Large Caches , 2009 .
[4] H. Ahn,et al. Realization of vertical resistive memory (VRRAM) using cost effective 3D process , 2011, 2011 International Electron Devices Meeting.
[5] Tetsuo Endoh,et al. Fully parallel 6T-2MTJ nonvolatile TCAM with single-transistor-based self match-line discharge control , 2011, 2011 Symposium on VLSI Circuits - Digest of Technical Papers.
[6] Shoji Ikeda,et al. A 3.14 um2 4T-2MTJ-cell fully parallel TCAM based on nonvolatile logic-in-memory architecture , 2012, 2012 Symposium on VLSI Circuits (VLSIC).
[7] Shoji Ikeda,et al. Implementation of a perpendicular MTJ-based read-disturb-tolerant 2T-2R nonvolatile TCAM based on a reversed current reading scheme , 2012, 17th Asia and South Pacific Design Automation Conference.
[8] Cong Xu,et al. NVSim: A Circuit-Level Performance, Energy, and Area Model for Emerging Nonvolatile Memory , 2012, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[9] H. Hwang,et al. Nanoscale (∼10nm) 3D vertical ReRAM and NbO2 threshold selector with TiN electrode , 2013, 2013 IEEE International Electron Devices Meeting.
[10] Eby G. Friedman,et al. AC-DIMM: associative computing with STT-MRAM , 2013, ISCA.
[11] Meng-Fan Chang,et al. ReRAM-based 4T2R nonvolatile TCAM with 7x NVM-stress reduction, and 4x improvement in speed-wordlength-capacity for normally-off instant-on filter-based search engines used in big-data processing , 2014, 2014 Symposium on VLSI Circuits Digest of Technical Papers.
[12] Cong Xu,et al. Modeling and design analysis of 3D vertical resistive memory — A low cost cross-point architecture , 2014, 2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC).
[13] Yiran Chen,et al. NV-TCAM: Alternative interests and practices in NVM designs , 2014, 2014 IEEE Non-Volatile Memory Systems and Applications Symposium (NVMSA).
[14] Jing Li,et al. 1 Mb 0.41 µm² 2T-2R Cell Nonvolatile TCAM With Two-Bit Encoding and Clocked Self-Referenced Sensing , 2014, IEEE Journal of Solid-State Circuits.
[15] Cong Xu,et al. Architecting 3D vertical resistive memory for next-generation storage systems , 2014, 2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[16] Meng-Fan Chang,et al. Energy-efficient non-volatile TCAM search engine design using priority-decision in memory technology for DPI , 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).
[17] Narayanan Vijaykrishnan,et al. Nonvolatile Processor Architecture Exploration for Energy-Harvesting Applications , 2015, IEEE Micro.
[18] Cong Xu,et al. Memory and Storage System Design with Nonvolatile Memory Technologies , 2015, IPSJ Trans. Syst. LSI Des. Methodol..
[19] Meng-Fan Chang,et al. 17.5 A 3T1R nonvolatile TCAM using MLC ReRAM with Sub-1ns search time , 2015, 2015 IEEE International Solid-State Circuits Conference - (ISSCC) Digest of Technical Papers.
[20] Shimeng Yu,et al. Scaling 2-layer RRAM cross-point array towards 10 nm node: A device-circuit co-design , 2015, 2015 IEEE International Symposium on Circuits and Systems (ISCAS).
[21] K. Cheng,et al. Leveraging nonvolatility for architecture design with emerging NVM , 2015, 2015 IEEE Non-Volatile Memory System and Applications Symposium (NVMSA).
[22] Tajana Simunic,et al. Processing Acceleration with Resistive Memory-based Computation , 2016, MEMSYS.
[23] Cong Xu,et al. Pinatubo: A processing-in-memory architecture for bulk bitwise operations in emerging non-volatile memories , 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).
[24] Tajana Simunic,et al. Resistive configurable associative memory for approximate computing , 2016, 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[25] Tajana Simunic,et al. ReMAM: Low energy Resistive Multi-stage Associative Memory for energy efficient computing , 2016, 2016 17th International Symposium on Quality Electronic Design (ISQED).
[26] Tao Zhang,et al. PRIME: A Novel Processing-in-Memory Architecture for Neural Network Computation in ReRAM-Based Main Memory , 2016, 2016 ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA).
[27] Meng-Fan Chang,et al. 7.4 A 256b-wordlength ReRAM-based TCAM with 1ns search-time and 14× improvement in wordlength-energyefficiency-density product using 2.5T1R cell , 2016, 2016 IEEE International Solid-State Circuits Conference (ISSCC).
[28] Tajana Simunic,et al. ACAM: Approximate Computing Based on Adaptive Associative Memory with Online Learning , 2016, ISLPED.
[29] Mohsen Imani,et al. Approximate Computing Using Multiple-Access Single-Charge Associative Memory , 2018, IEEE Transactions on Emerging Topics in Computing.