Z-TCAM: An SRAM-based Architecture for TCAM

Ternary content addressable memories (TCAMs) perform high-speed lookup operation but when compared with static random access memories (SRAMs), TCAMs have certain limitations such as low storage density, relatively slow access time, low scalability, complex circuitry, and are very expensive. Thus, can we use the benefits of SRAM by configuring it (with additional logic) to enable it to behave like TCAM? This brief proposes a novel memory architecture, named Z-TCAM, which emulates the TCAM functionality with SRAM. Z-TCAM logically partitions the classical TCAM table along columns and rows into hybrid TCAM subtables, which are then processed to map on their corresponding memory blocks. Two example designs for Z-TCAM of sizes 512 × 36 and 64 × 32 have been implemented on Xilinx Virtex-7 field-programmable gate array. The design of 64 × 32 Z-TCAM has also been implemented using OSUcells library for 0.18 μm technology, which confirms the physical and technical feasibility of Z-TCAM. Search latency for each design is three clock cycles. The detailed implementation results and power measurements for each design have been reported thoroughly.

[1]  Viktor K. Prasanna,et al.  Large-scale wire-speed packet classification on FPGAs , 2009, FPGA '09.

[2]  Shanq-Jang Ruan,et al.  Low Power Design of Precomputation-Based Content-Addressable Memory , 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[3]  Viktor K. Prasanna,et al.  Parallel IP lookup using multiple SRAM-based pipelines , 2008, 2008 IEEE International Symposium on Parallel and Distributed Processing.

[4]  Viktor K. Prasanna,et al.  Scalable Packet Classification on FPGA , 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[5]  K. Fujishima,et al.  A cost-efficient high-performance dynamic TCAM with pipelined hierarchical searching and shift redundancy architecture , 2005, IEEE Journal of Solid-State Circuits.

[6]  David E. Taylor,et al.  Longest prefix matching using bloom filters , 2006, TNET.

[7]  Patrick Crowley,et al.  Efficient regular expression evaluation: theory to practice , 2008, ANCS '08.

[8]  K. Pagiamtzis,et al.  Content-addressable memory (CAM) circuits and architectures: a tutorial and survey , 2006, IEEE Journal of Solid-State Circuits.

[9]  Vol,et al.  Transactions on High-Performance Embedded Architectures and Compilers II , 2009, Trans. HiPEAC.

[10]  Manoj Sachdev,et al.  Design techniques and test methodology for low-power TCAMs , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[11]  Rami G. Melhem,et al.  CA-RAM: A High-Performance Memory Substrate for Search-Intensive Applications , 2007, 2007 IEEE International Symposium on Performance Analysis of Systems & Software.

[12]  Yvon Savaria,et al.  Performance Characterization for the Implementation of Content Addressable Memories Based on Parallel Hashing Memories , 2009, Trans. High Perform. Embed. Archit. Compil..

[13]  Y. Savaria,et al.  Parallel hashing memories: an alternative to content addressable memories , 2005, The 3rd International IEEE-NEWCAS Conference, 2005..

[14]  梅迪恩·索马孙达拉姆 Memory and power efficient mechanism for fast table lookup , 2004 .

[15]  David E. Taylor Survey and taxonomy of packet classification techniques , 2005, CSUR.