Shielding Methodologies in the Presence of Power/Ground Noise

Design guidelines for shielding in the presence of power/ground (P/G) noise are presented in this paper. The effect of P/G noise on crosstalk is analyzed for different line lengths, line widths, and interconnect driver resistances. Considering the P/G noise, a shield line can degrade rather than enhance signal integrity due to increased P/G noise coupling on the victim line. A 2π RLC interconnect model is used to investigate the effects of both coupling capacitance and mutual inductance on the crosstalk noise. Physical spacing and shield insertion are compared in terms of the coupling noise on the victim line for several technology nodes. Boundary conditions are also provided to determine the effective range of spacing and shield insertion in the presence of P/G noise. Additionally, the effects of technology scaling on P/G noise and shielding efficiency are discussed, and related design tradeoffs are addressed.

[1]  David Blaauw,et al.  Active shielding of RLC global interconnects , 2002, TAU '02.

[2]  Yu (Kevin) Cao,et al.  What is Predictive Technology Model (PTM)? , 2009, SIGD.

[3]  R. Chau,et al.  A 45nm Logic Technology with High-k+Metal Gate Transistors, Strained Silicon, 9 Cu Interconnect Layers, 193nm Dry Patterning, and 100% Pb-free Packaging , 2007, 2007 IEEE International Electron Devices Meeting.

[4]  Yehea I. Ismail,et al.  Formal derivation of optimal active shielding for low-power on-chip buses , 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..

[5]  Eby G. Friedman,et al.  Power Distribution Networks with On-Chip Decoupling Capacitors , 2007 .

[6]  Massoud Pedram,et al.  Ground bounce in digital VLSI circuits , 2003, IEEE Trans. Very Large Scale Integr. Syst..

[7]  M. Horowitz,et al.  Efficient on-chip global interconnects , 2003, 2003 Symposium on VLSI Circuits. Digest of Technical Papers (IEEE Cat. No.03CH37408).

[8]  Ivor Catt,et al.  Crosstalk (Noise) in Digital Systems , 1967, IEEE Trans. Electron. Comput..

[9]  Emre Salman,et al.  Shielding Methodologies in the Presence of Power/Ground Noise , 2011, IEEE Trans. Very Large Scale Integr. Syst..

[10]  Emre Salman,et al.  Worst Case Power/Ground Noise Estimation Using an Equivalent Transition Time for Resonance , 2009, IEEE Transactions on Circuits and Systems I: Regular Papers.

[11]  Malgorzata Marek-Sadowska,et al.  Crosstalk reduction for VLSI , 1997, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[12]  Li-Rong Zheng,et al.  Crosstalk immune interconnect driver design , 2004, 2004 International Symposium on System-on-Chip, 2004. Proceedings..

[13]  David Blaauw,et al.  Active shields: a new approach to shielding global wires , 2002, GLSVLSI '02.

[14]  N. Ranganathan,et al.  Simultaneous interconnect delay and crosstalk noise optimization through gate sizing using game theory , 2006, IEEE Transactions on Computers.

[15]  Eby G. Friedman,et al.  Effect of shield insertion on reducing crosstalk noise between coupled interconnects , 2004, 2004 IEEE International Symposium on Circuits and Systems (IEEE Cat. No.04CH37512).

[16]  Shyh-Chyi Wong,et al.  Modeling of interconnect capacitance, delay, and crosstalk in VLSI , 2000 .

[17]  Rajendran Panda,et al.  Analysis of noise avoidance techniques in DSM interconnects using a complete crosstalk noise model , 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

[18]  Eby G. Friedman,et al.  Mutual inductance modeling for multiple RLC interconnects with application to shield insertion , 2004, IEEE International SOC Conference, 2004. Proceedings..

[19]  Eby G. Friedman,et al.  Repeater design to reduce delay and power in resistive interconnect , 1997, Proceedings of 1997 IEEE International Symposium on Circuits and Systems. Circuits and Systems in the Information Age ISCAS '97.

[20]  Sani R. Nassif,et al.  Optimal shielding/spacing metrics for low power design , 2003, IEEE Computer Society Annual Symposium on VLSI, 2003. Proceedings..

[21]  Yu Cao,et al.  RLC signal integrity analysis of high-speed global interconnects [CMOS] , 2000, International Electron Devices Meeting 2000. Technical Digest. IEDM (Cat. No.00CH37138).

[22]  H. B. Bakoglu,et al.  Circuits, interconnections, and packaging for VLSI , 1990 .

[23]  Eby G. Friedman,et al.  Simultaneous switching noise in on-chip CMOS power distribution networks , 2002, IEEE Trans. Very Large Scale Integr. Syst..

[24]  P. Bai,et al.  A 65nm logic technology featuring 35nm gate lengths, enhanced channel strain, 8 Cu interconnect layers, low-k ILD and 0.57 /spl mu/m/sup 2/ SRAM cell , 2004, IEDM Technical Digest. IEEE International Electron Devices Meeting, 2004..

[25]  Eby G. Friedman,et al.  Crosstalk modeling for coupled RLC interconnects with application to shield insertion , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[26]  Yehea I. Ismail,et al.  Optimum positioning of interleaved repeaters in bidirectional buses , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[27]  Masud H. Chowdhury,et al.  Analysis of the Impacts of Signal Slew and Skew on the Behavior of Coupled RLC Interconnects for Different Switching Patterns , 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[28]  A. E. Ruehii Inductance Calculations in a Complex Integrated Circuit Environment , 2002 .

[29]  Takayasu Sakurai,et al.  Closed-form expressions for interconnection delay, coupling, and crosstalk in VLSIs , 1993 .

[30]  Asim J. Al-Khalili,et al.  Estimation of ground bounce effects on CMOS circuits , 1999, ISCAS'99. Proceedings of the 1999 IEEE International Symposium on Circuits and Systems VLSI (Cat. No.99CH36349).

[31]  Jason Cong,et al.  Interconnect sizing and spacing with consideration of couplingcapacitance , 1997, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[32]  Mark Y. Liu,et al.  A 32nm logic technology featuring 2nd-generation high-k + metal-gate transistors, enhanced channel strain and 0.171μm2 SRAM cell size in a 291Mb array , 2008, 2008 IEEE International Electron Devices Meeting.

[33]  Patrik Larsson,et al.  di/dt Noise in CMOS Integrated Circuits , 1997 .

[34]  Eby G. Friedman,et al.  Delay and noise estimation of CMOS logic gates driving coupled resistive-capacitive interconnections , 2000, Integr..