On Signal Selection for Visibility Enhancement in Trace-Based Post-Silicon Validation

Today's complex integrated circuit designs increasingly rely on post-silicon validation to eliminate bugs that escape from pre-silicon verification. One effective silicon debug technique is to monitor and trace the behaviors of the circuit during its normal operation. However, due to the associated overhead, designers can only afford to trace a small number of signals in the design. Selecting which signals to trace is therefore a crucial issue for the effectiveness of this technique. This paper proposes an automated trace signal selection strategy with a new probability-based evaluation metric, which is able to dramatically enhance the visibility in post-silicon validation. Experimental results on benchmark circuits show that the proposed technique is more effective than existing solutions.

[1]  Doug Josephson,et al.  The crazy mixed up world of silicon debug [IC validation] , 2004, Proceedings of the IEEE 2004 Custom Integrated Circuits Conference (IEEE Cat. No.04CH37571).

[2]  J. LaFountain Inc. , 2013, American Art.

[3]  Romain Desplats,et al.  Fault localization using time resolved photon emission and stil waveforms , 2003, International Test Conference, 2003. Proceedings. ITC 2003..

[4]  Michael S. Hsiao,et al.  Using Non-trivial Logic Implications for Trace Buffer-Based Silicon Debug , 2009, 2009 Asian Test Symposium.

[5]  Yu-Chin Hsu,et al.  Visibility enhancement for silicon debug , 2006, 2006 43rd ACM/IEEE Design Automation Conference.

[6]  Nicola Nicolici,et al.  On using lossless compression of debug data in embedded logic analysis , 2007, 2007 IEEE International Test Conference.

[7]  Chun-Hung Lai,et al.  A trace-capable instruction cache for cost efficient real-time program trace compression in SoC , 2009, 2009 46th ACM/IEEE Design Automation Conference.

[8]  Qiang Xu,et al.  A Multi-Core Debug Platform for NoC-Based Systems , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.

[9]  Qiang Xu,et al.  Interconnection fabric design for tracing signals in post-silicon validation , 2009, 2009 46th ACM/IEEE Design Automation Conference.

[10]  Azadeh Davoodi,et al.  Trace signal selection to enhance timing and logic visibility in post-silicon validation , 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[11]  Nicola Nicolici,et al.  Low Cost Debug Architecture using Lossy Compression for Silicon Debug , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.

[12]  Martin Burtscher,et al.  The VPC trace-compression algorithms , 2005, IEEE Transactions on Computers.

[13]  Nicola Nicolici,et al.  Algorithms for State Restoration and Trace-Signal Selection for Data Acquisition in Silicon Debug , 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[14]  Ismet Bayraktaroglu,et al.  Microprocessor silicon debug based on failure propagation tracing , 2005, IEEE International Conference on Test, 2005..

[15]  Nur A. Touba,et al.  Automated Selection of Signals to Observe for Efficient Silicon Debug , 2009, 2009 27th IEEE VLSI Test Symposium.

[16]  Ieee Circuits,et al.  IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems information for authors , 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[17]  Don Douglas Josephson The manic depression of microprocessor debug , 2002, Proceedings. International Test Conference.

[18]  Prabhat Mishra,et al.  Efficient Trace Signal Selection for Post Silicon Validation and Debug , 2011, 2011 24th Internatioal Conference on VLSI Design.

[19]  Peter Dahlgren,et al.  Latch divergency in microprocessor failure analysis , 2003, International Test Conference, 2003. Proceedings. ITC 2003..

[20]  Qiang Xu,et al.  On multiplexed signal tracing for post-silicon debug , 2011, 2011 Design, Automation & Test in Europe.

[21]  Sandeep Kumar Goel,et al.  Design for debug: catching design errors in digital chips , 2002, IEEE Design & Test of Computers.

[22]  Qiang Xu,et al.  On Signal Tracing for Debugging Speedpath-Related Electrical Errors in Post-Silicon Validation , 2010, 2010 19th IEEE Asian Test Symposium.

[23]  Klaus D. McDonald-Maier,et al.  Debug support for complex systems on-chip: a review , 2006 .

[24]  Qiang Xu,et al.  On signal tracing in post-silicon validation , 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).

[25]  Subhasish Mitra,et al.  IFRA: Instruction Footprint Recording and Analysis for post-silicon bug localization in processors , 2008, 2008 45th ACM/IEEE Design Automation Conference.

[26]  Zeljko Zilic,et al.  Adding Debug Enhancements to Assertion Checkers for Hardware Emulation and Silicon Debug , 2006, 2006 International Conference on Computer Design.

[27]  Preeti Ranjan Panda,et al.  Online cache state dumping for processor debug , 2009, 2009 46th ACM/IEEE Design Automation Conference.

[28]  Gérard Memmi,et al.  A reconfigurable design-for-debug infrastructure for SoCs , 2006, 2006 43rd ACM/IEEE Design Automation Conference.

[29]  Qiang Xu,et al.  Trace signal selection for visibility enhancement in post-silicon validation , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

[30]  Bart Vermeulen,et al.  Silicon debug: scan chains alone are not enough , 1999, International Test Conference 1999. Proceedings (IEEE Cat. No.99CH37034).

[31]  Nicola Nicolici,et al.  Automated Trace Signals Identification and State Restoration for Improving Observability in Post-Silicon Validation , 2008, 2008 Design, Automation and Test in Europe.

[32]  Bart Vermeulen,et al.  IEEE 1149.1-compliant access architecture for multiple core debug on digital system chips , 2002, Proceedings. International Test Conference.

[33]  Igor L. Markov,et al.  Fixing Design Errors with Counterexamples and Resynthesis , 2007, 2007 Asia and South Pacific Design Automation Conference.

[34]  Donal Heffernan,et al.  Emerging on-ship debugging techniques for real-time embedded systems , 2000 .