Flexicache: Highly Reliable and Low Power Cache under Supply Voltage Scaling
暂无分享,去创建一个
[1] Cristian Constantinescu,et al. Trends and Challenges in VLSI Circuit Reliability , 2003, IEEE Micro.
[2] Nikil D. Dutt,et al. E < MC2: less energy through multi-copy cache , 2010, CASES '10.
[3] A.F. Witulski,et al. Models and Algorithmic Limits for an ECC-Based Approach to Hardening Sub-100-nm SRAMs , 2007, IEEE Transactions on Nuclear Science.
[4] Wei Zhang,et al. Replication cache: a small fully associative cache to improve data cache reliability , 2005, IEEE Transactions on Computers.
[5] Chin-Long Chen,et al. Error-Correcting Codes for Semiconductor Memory Applications: A State-of-the-Art Review , 1984, IBM J. Res. Dev..
[6] Milo M. K. Martin,et al. SafetyNet: improving the availability of shared memory multiprocessors with global checkpoint/recovery , 2002, Proceedings 29th Annual International Symposium on Computer Architecture.
[7] Cameron McNairy,et al. Itanium 2 Processor Microarchitecture , 2003, IEEE Micro.
[8] Ram Huggahalli,et al. Impact of Cache Coherence Protocols on the Processing of Network Traffic , 2007, 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007).
[9] Kewal K. Saluja,et al. Built-in self-testing of random-access memories , 1990, Computer.
[10] Rohit Bhatia,et al. Montecito: a dual-core, dual-thread Itanium processor , 2005, IEEE Micro.
[11] K. Roy,et al. A 160 mV Robust Schmitt Trigger Based Subthreshold SRAM , 2007, IEEE Journal of Solid-State Circuits.
[12] Jaume Abella,et al. Low Vccmin fault-tolerant cache with highly predictable performance , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[13] David Blaauw,et al. Near-Threshold Computing: Reclaiming Moore's Law Through Energy Efficient Integrated Circuits , 2010, Proceedings of the IEEE.
[14] Amin Ansari,et al. ZerehCache: Armoring cache architectures in high defect density technologies , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[15] Mateo Valero,et al. Circuit design of a dual-versioning L1 data cache for optimistic concurrency , 2011, GLSVLSI '11.
[16] Kanad Ghose,et al. Early Register Deallocation Mechanisms Using Checkpointed Register Files , 2006, IEEE Transactions on Computers.
[17] Robert Baumann,et al. Soft errors in advanced computer systems , 2005, IEEE Design & Test of Computers.
[18] W. Dehaene,et al. A 3.6 pJ/Access 480 MHz, 128 kb On-Chip SRAM With 850 MHz Boost Mode in 90 nm CMOS With Tunable Sense Amplifiers , 2009, IEEE Journal of Solid-State Circuits.
[19] Babak Falsafi,et al. Multi-bit Error Tolerant Caches Using Two-Dimensional Error Coding , 2007, 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007).
[20] David Blaauw,et al. Yield-Driven Near-Threshold SRAM Design , 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[21] Osman S. Unsal,et al. Circuit design of a novel adaptable and reliable L1 data cache , 2013, GLSVLSI '13.
[22] Wei Chen,et al. 5.4 Ivytown: A 22nm 15-core enterprise Xeon® processor family , 2014, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).
[23] B.C. Paul,et al. Process variation in embedded memories: failure analysis and variation aware architecture , 2005, IEEE Journal of Solid-State Circuits.
[24] Alaa R. Alameldeen,et al. Trading off Cache Capacity for Reliability to Enable Low Voltage Operation , 2008, 2008 International Symposium on Computer Architecture.
[25] Wei Wu,et al. Improving cache lifetime reliability at ultra-low voltages , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[26] Mateo Valero,et al. Using a Reconfigurable L1 Data Cache for Efficient Version Management in Hardware Transactional Memory , 2011, 2011 International Conference on Parallel Architectures and Compilation Techniques.
[27] H. Fujiwara,et al. An Area-Conscious Low-Voltage-Oriented 8T-SRAM Design under DVS Environment , 2007, 2007 IEEE Symposium on VLSI Circuits.
[28] James Dinan,et al. Parichute: Generalized Turbocode-Based Error Correction for Near-Threshold Caches , 2010, 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture.
[29] D. C. Bossen,et al. Orthogonal latin square codes , 1970 .