On the Impact of Within-Die Process Variation in GALS-Based NoC Performance
暂无分享,去创建一个
[1] Pat Conway,et al. Blade computing with the AMD Opteron™ processor ("magny-cours") , 2009, 2009 IEEE Hot Chips 21 Symposium (HCS).
[2] Sani R. Nassif,et al. Design for Manufacturability and Statistical Design: A Comprehensive Approach , 2006 .
[3] Guoqing Chen,et al. Low-power repeaters driving RC and RLC interconnects with delay and bandwidth constraints , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[4] R. Kumar,et al. An Integrated Quad-Core Opteron Processor , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.
[5] J. Torrellas,et al. VARIUS: A Model of Process Variation and Resulting Timing Errors for Microarchitects , 2008, IEEE Transactions on Semiconductor Manufacturing.
[6] Chita R. Das,et al. On the Effects of Process Variation in Network-on-Chip Architectures , 2010, IEEE Transactions on Dependable and Secure Computing.
[7] A. Jose,et al. Near speed-of-light on-chip interconnects using pulsed current-mode signalling , 2005, Digest of Technical Papers. 2005 Symposium on VLSI Circuits, 2005..
[8] Yu Cao,et al. Mapping statistical process variations toward circuit performance variability: an analytical modeling approach , 2005, Proceedings. 42nd Design Automation Conference, 2005..
[9] James D. Meindl,et al. Impact of die-to-die and within-die parameter fluctuations on the maximum clock frequency distribution for gigascale integration , 2002, IEEE J. Solid State Circuits.
[10] S. Naffziger,et al. A 90-nm variable frequency clock system for a power-managed itanium architecture processor , 2006, IEEE Journal of Solid-State Circuits.
[11] Luca Benini,et al. Process Variation Tolerant Pipeline Design Through a Placement-Aware Multiple Voltage Island Design Style , 2008, 2008 Design, Automation and Test in Europe.
[12] Luca Benini,et al. NoC Design and Implementation in 65nm Technology , 2007, First International Symposium on Networks-on-Chip (NOCS'07).
[13] Weiping Shi,et al. PARADE: parametric delay evaluation under process variation [IC modeling] , 2004, International Symposium on Signals, Circuits and Systems. Proceedings, SCS 2003. (Cat. No.03EX720).
[14] R Core Team,et al. R: A language and environment for statistical computing. , 2014 .
[15] Luca Benini,et al. Networks on chips - technology and tools , 2006, The Morgan Kaufmann series in systems on silicon.
[16] Radu Marculescu,et al. Voltage-Frequency Island Partitioning for GALS-based Networks-on-Chip , 2007, 2007 44th ACM/IEEE Design Automation Conference.
[17] Kai Li,et al. The PARSEC benchmark suite: Characterization and architectural implications , 2008, 2008 International Conference on Parallel Architectures and Compilation Techniques (PACT).
[18] Kurt Keutzer,et al. Impact of spatial intrachip gate length variability on theperformance of high-speed digital circuits , 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[19] Balaram Sinharoy,et al. POWER7: IBM's next generation server processor , 2010, 2009 IEEE Hot Chips 21 Symposium (HCS).
[20] Kevin Skadron,et al. Impact of Process Variations on Multicore Performance Symmetry , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.
[21] Fredrik Larsson,et al. Simics: A Full System Simulation Platform , 2002, Computer.
[22] José Duato,et al. Efficient unicast and multicast support for CMPs , 2008, 2008 41st IEEE/ACM International Symposium on Microarchitecture.
[23] Dean M. Tullsen,et al. Interconnections in multi-core architectures: understanding mechanisms, overheads and scaling , 2005, 32nd International Symposium on Computer Architecture (ISCA'05).
[24] Jeff Baxter,et al. Nahalem-EX CPU architecture , 2009, 2009 IEEE Hot Chips 21 Symposium (HCS).
[25] Dean M. Tullsen,et al. Interconnections in Multi-Core Architectures: Understanding Mechanisms, Overheads and Scaling , 2005, ISCA 2005.
[26] Weiping Shi,et al. PARADE: PARAmetric Delay Evaluation under Process Variation , 2004 .
[27] Jayanthi Pallinti,et al. Electrical characterization of the copper CMP process and derivation of metal layout rules , 2003 .
[28] Federico Silla,et al. A methodology for the characterization of process variation in NoC links , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).
[29] Sanjay Patel. Rainbow falls sun's next generation CMT processor , 2009, 2009 IEEE Hot Chips 21 Symposium (HCS).
[30] Steven M. Nowick,et al. Robust interfaces for mixed-timing systems with application to latency-insensitive protocols , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).
[31] Adnan Aziz,et al. Provisioning On-Chip Networks under Buffered RC Interconnect Delay Variations , 2007, 8th International Symposium on Quality Electronic Design (ISQED'07).
[32] Sriram R. Vangal,et al. A 5-GHz Mesh Interconnect for a Teraflops Processor , 2007, IEEE Micro.
[33] Diana Marculescu,et al. Mitigating the Impact of Variability on Chip-Multiprocessor Power and Performance , 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[34] A. Asenov,et al. Intrinsic threshold voltage fluctuations in decanano MOSFETs due to local oxide thickness variations , 2002 .
[35] Kelin Kuhn,et al. Managing Process Variation in Intel’s 45nm CMOS Technology , 2008 .
[36] Costas J. Spanos,et al. Modeling within-die spatial correlation effects for process-design co-optimization , 2005, Sixth international symposium on quality electronic design (isqed'05).
[37] Alain Greiner,et al. Bi-Synchronous FIFO for Synchronous Circuit Communication Well Suited for Network-on-Chip in GALS Architectures , 2007, First International Symposium on Networks-on-Chip (NOCS'07).
[38] William J. Dally,et al. Research Challenges for On-Chip Interconnection Networks , 2007, IEEE Micro.