Soft error modeling and remediation techniques in ASIC designs

Soft errors due to cosmic radiations are the main reliability threat during lifetime operation of digital systems. Fast and accurate estimation of soft error rate (SER) is essential in obtaining the reliability parameters of a digital system in order to balance reliability, performance, and cost of the system. Previous techniques for SER estimation are mainly based on fault injection and random simulations. In this paper, we present an analytical SER modeling technique for ASIC designs that can significantly reduce SER estimation time while achieving very high accuracy. This technique can be used for both combinational and sequential circuits. We also present an approach to obtain uncertainty bounds on estimated error propagation probability (EPP) values used in our SER modeling framework. Comparison of this method with the Monte-Carlo fault injection and simulation approach confirms the accuracy and speed-up of the presented technique for both the computed EPP values and uncertainty bounds. Based on our SER estimation framework, we also present efficient soft error hardening techniques based on selective gate resizing to maximize soft error suppression for the entire logic-level design while minimizing area and delay penalties. Experimental results confirm that these techniques are able to significantly reduce soft error rate with modest area and delay overhead.

[1]  Narayanan Vijaykrishnan,et al.  SEAT-LA: a soft error analysis tool for combinational logic , 2006, 19th International Conference on VLSI Design held jointly with 5th International Conference on Embedded Systems Design (VLSID'06).

[2]  Don E. Ross,et al.  Signal probability calculations using partial functional manipulation , 1993, Digest of Papers Eleventh Annual 1993 IEEE VLSI Test Symposium.

[3]  Clifford Stein,et al.  Introduction to Algorithms, 2nd edition. , 2001 .

[4]  Gary S. Ditlow,et al.  Random Pattern Testability , 1984, IEEE Transactions on Computers.

[5]  N. Seifert,et al.  Robust system design with built-in soft-error resilience , 2005, Computer.

[6]  R. K. Shyamasundar,et al.  Introduction to algorithms , 1996 .

[7]  Nur A. Touba,et al.  Partial error masking to reduce soft error failure rate in logic circuits , 2003, Proceedings 18th IEEE Symposium on Defect and Fault Tolerance in VLSI Systems.

[8]  Kartik Mohanram,et al.  Cost-effective radiation hardening technique for combinational logic , 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..

[9]  Michael Orshansky,et al.  Symbolic Simulation of the Propagation and Filtering of Transient Faulty Pulses , 2005 .

[10]  Mehdi Baradaran Tahoori,et al.  A low power soft error suppression technique for dynamic logic , 2005, 20th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems (DFT'05).

[11]  David Blaauw,et al.  Computing the Soft Error Rate of a Combinational Logic Circuit Using Parameterized Descriptors , 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[12]  N. Seifert,et al.  Chip-level soft error estimation method , 2005, IEEE Transactions on Device and Materials Reliability.

[13]  Mehdi Baradaran Tahoori,et al.  Estimating Error Propagation Probabilities with Bounded Variances , 2007, 22nd IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2007).

[14]  Edward J. McCluskey,et al.  Probabilistic Treatment of General Combinational Networks , 1975, IEEE Transactions on Computers.

[15]  Nur A. Touba,et al.  Synthesis of low-cost parity-based partially self-checking circuits , 2003, 9th IEEE On-Line Testing Symposium, 2003. IOLTS 2003..

[16]  Sung-Mo Kang,et al.  Fast timing simulation of transient faults in digital circuits , 1994, ICCAD.

[17]  Abhijit Chatterjee,et al.  Soft-error tolerance analysis and optimization of nanometer circuits , 2005, Design, Automation and Test in Europe.

[18]  Mehdi Baradaran Tahoori,et al.  Soft error hardening for logic-level designs , 2006, 2006 IEEE International Symposium on Circuits and Systems.

[19]  G. C. Messenger,et al.  Collection of Charge on Junction Nodes from Ion Tracks , 1982, IEEE Transactions on Nuclear Science.

[20]  R. Baumann Soft errors in advanced semiconductor devices-part I: the three radiation sources , 2001 .

[21]  Kartik Mohanram,et al.  Cost-effective radiation hardening technique for combinational logic , 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..

[22]  John P. Hayes,et al.  Accurate reliability evaluation and enhancement via probabilistic transfer matrices , 2005, Design, Automation and Test in Europe.

[23]  Massimo Violante,et al.  Accurate and efficient analysis of single event transients in VLSI circuits , 2003, 9th IEEE On-Line Testing Symposium, 2003. IOLTS 2003..

[24]  M. Nicolaidis,et al.  Design for soft error mitigation , 2005, IEEE Transactions on Device and Materials Reliability.

[25]  Michael Nicolaidis Time redundancy based soft-error tolerance to rescue nanometer technologies , 1999, Proceedings 17th IEEE VLSI Test Symposium (Cat. No.PR00146).

[26]  Hiroyuki Suzuki,et al.  Sequential Measurements of Cosmic-Ray Neutron Spectrum and Dose Rate at Sea Level in Sendai, Japan , 2005 .

[27]  Sarma B. K. Vrudhula,et al.  Analysis of signal probability in logic circuits using stochastic models , 1993, IEEE Trans. Very Large Scale Integr. Syst..

[28]  Ming Zhang,et al.  Combinational Logic Soft Error Correction , 2006, 2006 IEEE International Test Conference.

[29]  Christos A. Papachristou,et al.  Node sensitivity analysis for soft errors in CMOS logic , 2005, IEEE International Conference on Test, 2005..

[30]  J.S. Laird,et al.  Transient Currents Generated by Heavy Ions With Hundreds of MeV , 2006, IEEE Transactions on Nuclear Science.

[31]  Y. Yagil,et al.  A systematic approach to SER estimation and solutions , 2003, 2003 IEEE International Reliability Physics Symposium Proceedings, 2003. 41st Annual..

[32]  Robert Baumann,et al.  Soft errors in advanced computer systems , 2005, IEEE Design & Test of Computers.

[33]  Nur A. Touba,et al.  Cost-effective approach for reducing soft error failure rate in logic circuits , 2003, International Test Conference, 2003. Proceedings. ITC 2003..

[34]  N. Seifert,et al.  Timing vulnerability factors of sequentials , 2004, IEEE Transactions on Device and Materials Reliability.

[35]  Joel Emer,et al.  A systematic methodology to compute the architectural vulnerability factors for a high-performance microprocessor , 2003, Proceedings. 36th Annual IEEE/ACM International Symposium on Microarchitecture, 2003. MICRO-36..

[36]  Mehdi Baradaran Tahoori,et al.  An analytical approach for soft error rate estimation in digital circuits , 2005, 2005 IEEE International Symposium on Circuits and Systems.

[37]  D. Sylvester,et al.  Soft Error Reduction in Combinational Logic Using Gate Resizing and Flipflop Selection , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.

[38]  Israel Koren,et al.  Techniques for transient fault sensitivity analysis and reduction in VLSI circuits , 2003, Proceedings 18th IEEE Symposium on Defect and Fault Tolerance in VLSI Systems.

[39]  R.C. Baumann,et al.  Radiation-induced soft errors in advanced semiconductor technologies , 2005, IEEE Transactions on Device and Materials Reliability.

[40]  Sujit Dey,et al.  Improving transient error tolerance of digital VLSI circuits using robustness compiler (ROCO) , 2006, 7th International Symposium on Quality Electronic Design (ISQED'06).

[41]  Randal E. Bryant,et al.  Graph-Based Algorithms for Boolean Function Manipulation , 1986, IEEE Transactions on Computers.

[42]  Seyed Ghassem Miremadi,et al.  A hybrid fault injection approach based on simulation and emulation co-operation , 2003, 2003 International Conference on Dependable Systems and Networks, 2003. Proceedings..

[43]  Ming Zhang,et al.  Logic soft errors: a major barrier to robust platform design , 2005, IEEE International Conference on Test, 2005..

[44]  Ming Zhang,et al.  A soft error rate analysis (SERA) methodology , 2004, ICCAD 2004.

[45]  E. Normand Single event upset at ground level , 1996 .

[46]  Mehdi Baradaran Tahoori,et al.  An accurate SER estimation method based on propagation probability [soft error rate] , 2005, Design, Automation and Test in Europe.

[47]  Nihar R. Mahapatra,et al.  A highly-efficient technique for reducing soft errors in static CMOS circuits , 2004, IEEE International Conference on Computer Design: VLSI in Computers and Processors, 2004. ICCD 2004. Proceedings..

[48]  Robert Hooke,et al.  Uncertainty, Calibration and Probability. , 1974 .

[49]  Narayanan Vijaykrishnan,et al.  The effect of threshold voltages on the soft error rate [memory and logic circuits] , 2004, International Symposium on Signals, Circuits and Systems. Proceedings, SCS 2003. (Cat. No.03EX720).

[50]  Quming Zhou,et al.  Transistor sizing for radiation hardening , 2004, 2004 IEEE International Reliability Physics Symposium. Proceedings.

[51]  Bin Zhang,et al.  FASER: fast analysis of soft error susceptibility for cell-based designs , 2006, 7th International Symposium on Quality Electronic Design (ISQED'06).

[52]  Sung-Mo Kang,et al.  Fast Timing Simulation Of Transient Faults In Digital Circuits , 1994, IEEE/ACM International Conference on Computer-Aided Design.

[53]  Leo B. Freeman Critical charge calculations for a bipolar SRAM array , 1996, IBM J. Res. Dev..

[54]  Sandip Kundu,et al.  An Improved Soft-Error Rate Measurement Technique , 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[55]  Mao Zhigang,et al.  Fast soft error rate computing technique based on state probability propagating , 2009, 2009 4th IEEE Conference on Industrial Electronics and Applications.

[56]  Christos A. Papachristou,et al.  Soft delay error effects in CMOS combinational circuits , 2004, 22nd IEEE VLSI Test Symposium, 2004. Proceedings..

[57]  Sofia Cassel,et al.  Graph-Based Algorithms for Boolean Function Manipulation , 2012 .

[58]  Liyi Xiao,et al.  A novel soft error sensitivity characterization technique based on simulated fault injection and constrained association analysis , 2008, 2008 15th IEEE International Conference on Electronics, Circuits and Systems.