3-D Power Delivery Network’s Subblocks and Regulator Placement Optimized by Evolutionary Algorithm

A performance-aware 3-D power delivery network (PDN) using a novel common-centroid regulator placement and computational intelligence is presented. An evolutionary algorithm has been employed to evaluate the optimal performance tradeoffs such as power, latency, and signal integrity and to find the best performance placement of regulators in intertier PDN. To analyze main benefits of the proposed on-chip 3-D PDN, the regulator, most important block, is presented in the PDN. Through-silicon via and I/O links are also integrated into the 3-D PDN to analyze the power noise accurately. The proposed 3-D PDN using evolutionary process can significantly improve the energy efficiency, supply noises, and power/signal quality of a heterogeneous 3-D PDN. It is designed and fabricated in a 65-nm CMOS technology at 1 V. The measurement results show that the supply voltage fluctuation is 10.2 mV and the total power consumption of the proposed 3-D PDN is 4.22 mW while the 3-D I/O transceiver operates with a data rate of 1.9 Gb/s.

[1]  Joungho Kim,et al.  TSV modeling and noise coupling in 3D IC , 2010, 3rd Electronics System Integration Technology Conference ESTC.

[2]  S. Cho,et al.  A 1.8 V 700 Mb/s/pin 512 Mb DDR-II SDRAM with on-die termination and off-chip driver calibration , 2003, 2003 IEEE International Solid-State Circuits Conference, 2003. Digest of Technical Papers. ISSCC..

[3]  Joungho Kim,et al.  Power distribution network (PDN) design and analysis of a single and double-sided high bandwidth memory (HBM) interposer for 2.5D Terabtye/s bandwidth system , 2016, 2016 IEEE International Symposium on Electromagnetic Compatibility (EMC).

[4]  Mel Bazes,et al.  Two novel fully complementary self-biased CMOS differential amplifiers , 1991 .

[5]  M. Swaminathan,et al.  Transient Analysis of TSV Equivalent Circuit Considering Nonlinear MOS Capacitance Effects , 2015, IEEE Transactions on Electromagnetic Compatibility.

[6]  Xiaoxiong Gu,et al.  Modeling of switching noise and coupling in multiple chips of 3D TSV-based systems , 2014, 2014 IEEE 64th Electronic Components and Technology Conference (ECTC).

[7]  Yehea Ismail,et al.  Analytical Model for the Propagation Delay of Through Silicon Vias , 2008, ISQED 2008.

[8]  Seongwon Kim,et al.  Dual-Loop System of Distributed Microregulators With High DC Accuracy, Load Response Time Below 500 ps, and 85-mV Dropout Voltage , 2012, IEEE Journal of Solid-State Circuits.

[9]  Taigon Song,et al.  PDN Impedance Modeling and Analysis of 3D TSV IC by Using Proposed P/G TSV Array Model Based on Separated P/G TSV and Chip-PDN Models , 2011, IEEE Transactions on Components, Packaging and Manufacturing Technology.

[10]  R. Suaya,et al.  Compact AC modeling and analysis of Cu, W, and CNT based through-silicon vias (TSVs) in 3-D ICs , 2009, 2009 IEEE International Electron Devices Meeting (IEDM).

[11]  Jaeha Kim,et al.  Yield-Aware Pareto Front Extraction for Discrete Hierarchical Optimization of Analog Circuits , 2014, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[12]  Yu Wang,et al.  Efficient region-aware P/G TSV planning for 3D ICs , 2014, Fifteenth International Symposium on Quality Electronic Design.

[13]  Arnaud Virazel,et al.  Globally Constrained Locally Optimized 3-D Power Delivery Networks , 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[14]  Xiaoxiong Gu,et al.  Analysis of TSV geometric parameter impact on switching noise in 3D power distribution network , 2014, 25th Annual SEMI Advanced Semiconductor Manufacturing Conference (ASMC 2014).

[15]  Hossein Shamsi,et al.  Resilient design of current steering DACs using a transistor level approach , 2017 .

[16]  Hossein Shamsi,et al.  Yield‐aware sizing of pipeline ADC using a multiple‐objective evolutionary algorithm , 2017, Int. J. Circuit Theory Appl..

[17]  Soha Hassoun,et al.  Power Delivery Design for 3-D ICs Using Different Through-Silicon Via (TSV) Technologies , 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[18]  Jong Bum Lee,et al.  3-D TSV Six-Die Stacking and Reliability Assessment of 20- $\mu$ m-Pitch Bumps on Large-Scale Dies , 2017, IEEE Transactions on Components, Packaging and Manufacturing Technology.

[19]  Yong-Hwan Kim,et al.  Design of non-contact 2Gb/s I/O test methods for high bandwidth memory (HBM) , 2016, 2016 IEEE Asian Solid-State Circuits Conference (A-SSCC).

[20]  Xin Zhao,et al.  Transient modeling of TSV-wire electromigration and lifetime analysis of power distribution network for 3D ICs , 2013, 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[21]  Pingqiang Zhou,et al.  Exploration of on-chip switched-capacitor DC-DC converter for multicore processors using a distributed power delivery network , 2011, 2011 IEEE Custom Integrated Circuits Conference (CICC).

[22]  Sung Kyu Lim,et al.  Through-silicon-via-aware delay and power prediction model for buffered interconnects in 3D ICs , 2010, SLIP '10.

[23]  Xuesong Yan,et al.  Design Electronic Circuits Using Evolutionary Algorithms , 2010, J. Next Gener. Inf. Technol..

[24]  Joungho Kim,et al.  Eye-diagram estimation and analysis of High-Bandwidth Memory (HBM) interposer channel with crosstalk reduction schemes on 2.5D and 3D IC , 2016, 2016 IEEE International Symposium on Electromagnetic Compatibility (EMC).

[25]  Zheng Xu,et al.  TSV density impact on 3D power delivery with high aspect ratio TSVs , 2013, ASMC 2013 SEMI Advanced Semiconductor Manufacturing Conference.

[26]  Arnaud Virazel,et al.  A Study of Tapered 3-D TSVs for Power and Thermal Integrity , 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[27]  Jaejin Lee,et al.  A 1.2 V 8 Gb 8-Channel 128 GB/s High-Bandwidth Memory (HBM) Stacked DRAM With Effective I/O Test Circuits , 2015, IEEE Journal of Solid-State Circuits.

[28]  Gang Huang,et al.  Power Delivery for 3-D Chip Stacks: Physical Modeling and Design Implication , 2012, IEEE Transactions on Components, Packaging and Manufacturing Technology.

[29]  E. Friedman,et al.  Closed-Form Expressions of 3-D Via Resistance, Inductance, and Capacitance , 2009, IEEE Transactions on Electron Devices.

[30]  W. Dehaene,et al.  Electrical Modeling and Characterization of Through Silicon via for Three-Dimensional ICs , 2010, IEEE Transactions on Electron Devices.

[31]  Junho Lee,et al.  High-Frequency Scalable Electrical Model and Analysis of a Through Silicon Via (TSV) , 2011, IEEE Transactions on Components, Packaging and Manufacturing Technology.

[32]  James J.-Q. Lu,et al.  Modeling and Analysis of PDN Impedance and Switching Noise in TSV-Based 3-D Integration , 2015, IEEE Transactions on Electron Devices.

[33]  S. Mukhopadhyay,et al.  TSV-aware interconnect length and power prediction for 3D stacked ICs , 2009, 2009 IEEE International Interconnect Technology Conference.

[34]  Zhiyu Zeng,et al.  Tradeoff analysis and optimization of power delivery networks with on-chip voltage regulation , 2010, Design Automation Conference.

[35]  Gabriel A. Rincon-Mora,et al.  A low-voltage, low quiescent current, low drop-out regulator , 1998, IEEE J. Solid State Circuits.

[36]  Sung Kyu Lim,et al.  A novel TSV topology for many-tier 3D power-delivery networks , 2011, 2011 Design, Automation & Test in Europe.

[37]  S. Mukhopadhyay,et al.  Fast and Accurate Analytical Modeling of Through-Silicon-Via Capacitive Coupling , 2011, IEEE Transactions on Components, Packaging and Manufacturing Technology.

[38]  Jongsun Kim,et al.  An Energy-Efficient and High-Speed Mobile Memory I/O Interface Using Simultaneous Bi-Directional Dual (Base+RF)-Band Signaling , 2012, IEEE Journal of Solid-State Circuits.

[39]  Joungho Kim,et al.  Chip-Package Hierarchical Power Distribution Network Modeling and Analysis Based on a Segmentation Method , 2010, IEEE Transactions on Advanced Packaging.

[40]  Madhavan Swaminathan,et al.  Electrical modeling of Through Silicon and Package Vias , 2009, 2009 IEEE International Conference on 3D System Integration.

[41]  Yu Wang,et al.  Three-dimensional integrated circuits (3D IC) Floorplan and Power/Ground Network Co-synthesis , 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).