A Systematic Methodology to Generate Decomposable and Responsive Power Models for CMPs
暂无分享,去创建一个
Eduard Ayguadé | Marc González | Xavier Martorell | Nacho Navarro | Ramon Bertran Monfort | E. Ayguadé | N. Navarro | X. Martorell | Marc González | Nacho Navarro
[1] Margaret Martonosi,et al. Run-time power estimation in high performance microprocessors , 2001, ISLPED '01.
[2] Gilberto Contreras,et al. Power prediction for Intel XScale processors using performance monitoring unit events , 2005 .
[3] Shrirang M. Yardi,et al. CAMP: A technique to estimate per-structure power at run-time using a few simple parameters , 2009, 2009 IEEE 15th International Symposium on High Performance Computer Architecture.
[4] Margaret Martonosi,et al. Runtime power monitoring in high-end processors: methodology and empirical data , 2003, Proceedings. 36th Annual IEEE/ACM International Symposium on Microarchitecture, 2003. MICRO-36..
[5] Frank Bellosa,et al. The benefits of event: driven energy accounting in power-sensitive systems , 2000, ACM SIGOPS European Workshop.
[6] Ken Smits,et al. Penryn: 45-nm next generation Intel® core™ 2 processor , 2007, 2007 IEEE Asian Solid-State Circuits Conference.
[7] Lizy Kurian John,et al. Complete System Power Estimation: A Trickle-Down Approach Based on Performance Events , 2007, 2007 IEEE International Symposium on Performance Analysis of Systems & Software.
[8] D. Vengerov,et al. A Methodology for Developing Simple and Robust Power Models Using Performance Monitoring Events , 2009 .
[9] Wei Wu,et al. A systematic method for functional unit power estimation in microprocessors , 2006, 2006 43rd ACM/IEEE Design Automation Conference.
[10] Kevin Skadron,et al. Temperature-aware microarchitecture , 2003, ISCA '03.
[11] Trevor N. Mudge,et al. Power: A First-Class Architectural Design Constraint , 2001, Computer.
[12] Nian-Feng Tzeng,et al. Chaotic attractor prediction for server run-time energy consumption , 2010 .
[13] Lieven Eeckhout,et al. Automated microprocessor stressmark generation , 2008, 2008 IEEE 14th International Symposium on High Performance Computer Architecture.
[14] Carl Staelin,et al. lmbench: Portable Tools for Performance Analysis , 1996, USENIX Annual Technical Conference.
[15] Lizy Kurian John,et al. Run-time modeling and estimation of operating system power consumption , 2003, SIGMETRICS '03.
[16] Stephen D. Bay,et al. The Independent Sign Bias: Gaining Insight from Multiple Linear Regression , 2020, Proceedings of the Twenty First Annual Conference of the Cognitive Science Society.
[17] Amin Vahdat,et al. Managing energy and server resources in hosting centers , 2001, SOSP.
[18] James Tschanz,et al. Parameter variations and impact on circuits and microarchitecture , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).
[19] Xavier Martorell,et al. Technical Report - UPC-DAC-RR-CAP-2010-17 Short-Interval Voltage and Frequency Scaling: Characterization and Optimization Opportunities , 2010 .
[20] David E. Irwin,et al. Ensemble-level Power Management for Dense Blade Servers , 2006, 33rd International Symposium on Computer Architecture (ISCA'06).
[21] Kevin Skadron,et al. Power issues related to branch prediction , 2002, Proceedings Eighth International Symposium on High Performance Computer Architecture.
[22] Samuel Naffziger,et al. An x86-64 core implemented in 32nm SOI CMOS , 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).
[23] Sally A. McKee,et al. Real time power estimation and thread scheduling via performance counters , 2009, CARN.
[24] R Core Team,et al. R: A language and environment for statistical computing. , 2014 .
[25] Bishop Brock,et al. Introducing the Adaptive Energy Management Features of the Power7 Chip , 2011, IEEE Micro.
[26] Rob VanderWijngaart,et al. NAS Parallel Benchmarks. 2.4 , 2002 .
[27] Margaret Martonosi,et al. Power prediction for Intel XScale/spl reg/ processors using performance monitoring unit events , 2005, ISLPED '05. Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005..
[28] Margaret Martonosi,et al. Phase characterization for power: evaluating control-flow-based and event-counter-based techniques , 2006, The Twelfth International Symposium on High-Performance Computer Architecture, 2006..
[29] Lizy Kurian John,et al. Runtime identification of microprocessor energy saving opportunities , 2005, ISLPED '05. Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005..
[30] John L. Henning. SPEC CPU2006 benchmark descriptions , 2006, CARN.
[31] Lizy Kurian John,et al. Complete System Power Estimation Using Processor Performance Events , 2012, IEEE Transactions on Computers.
[32] M. K. Gowan,et al. A 65nm 2-Billion-Transistor Quad-Core Itanium® Processor , 2008, 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.