A low-power fat tree-based optical Network-On-Chip for multiprocessor system-on-chip

Multiprocessor system-on-chip (MPSoC) is an attractive platform for high-performance applications. Networks-on-Chip (NoCs) can improve the on-chip communication bandwidth of MPSoCs. However, traditional metallic interconnects consume significant amount of power to deliver even higher communication bandwidth required in the near future. Optical NoCs are based on CMOS-compatible optical waveguides and microresonators, and promise significant bandwidth and power advantages. This paper proposes a fat tree-based optical NoC (FONoC) including its topology, floorplan, protocols, and a low-power and low-cost optical router, optical turnaround router (OTAR). Different from other optical NoCs, FONoC does not require building a separate electronic NoC for network control. It carries both payload data and network control data on the same optical network, while using circuit switching for the former and packet switching for the latter. The FONoC protocols are designed to minimize network control data and the related power consumption. An optimized turnaround routing algorithm is designed to utilize the low-power feature of OTAR, which can passively route packets without powering on any microresonator in 40% of all cases. Comparing with other optical routers, OTAR has the lowest optical power loss and uses the lowest number of microresonators. An analytical model is developed to characterize the power consumption of FONoC. We compare the power consumption of FONoC with a matched electronic NoC in 45 nm, and show that FONoC can save 87% power comparing with the electronic NoC on a 64-core MPSoC. We simulate the FONoC for the 64-core MPSoC and show the end-to-end delay and network throughput under different offered loads and packet sizes.

[1]  N.K. Jha,et al.  Toward Ideal On-Chip Communication Using Express Virtual Channels , 2008, IEEE Micro.

[2]  E. R. Thoen,et al.  Ultra-compact Si-SiO2 microring resonator optical channel dropping filters , 1998, IEEE Photonics Technology Letters.

[3]  Kees Goossens,et al.  AEthereal network on chip: concepts, architectures, and implementations , 2005, IEEE Design & Test of Computers.

[4]  Wei-Feng Fang,et al.  A binary tree architecture for application specific network on chip (ASNOC) design , 2004, The 2004 IEEE Asia-Pacific Conference on Circuits and Systems, 2004. Proceedings..

[5]  W. Daniel Hillis,et al.  The network architecture of the Connection Machine CM-5 (extended abstract) , 1992, SPAA '92.

[6]  Volker Strumpen,et al.  A collision model for randomized routing in fat-tree networks , 2005, J. Parallel Distributed Comput..

[7]  Chita R. Das,et al.  A novel dimensionally-decomposed router for on-chip communication in 3D architectures , 2007, ISCA '07.

[8]  Zheng Wang,et al.  ODOR: a microresonator-based high-performance low-cost router for optical networks-on-Chip , 2008, CODES+ISSS '08.

[9]  Luca P. Carloni,et al.  The Case for Low-Power Photonic Networks on Chip , 2007, 2007 44th ACM/IEEE Design Automation Conference.

[10]  Qianfan Xu,et al.  Micrometre-scale silicon electro-optic modulator , 2005, Nature.

[11]  Luca P. Carloni,et al.  Photonic NoC for DMA Communications in Chip Multiprocessors , 2007, 15th Annual IEEE Symposium on High-Performance Interconnects (HOTI 2007).

[12]  Luca Benini,et al.  Networks on chip: a new paradigm for systems on chip design , 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

[13]  S. Xiao,et al.  Multiple-channel silicon micro-resonator based filters for WDM applications. , 2007, Optics express.

[14]  W. Dally,et al.  Route packets, not wires: on-chip interconnection networks , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[15]  Partha Pratim Pande,et al.  Performance evaluation and design trade-offs for network-on-chip interconnect architectures , 2005, IEEE Transactions on Computers.

[16]  Jörg Henkel,et al.  A design methodology for application-specific networks-on-chip , 2006, TECS.

[17]  Axel Jantsch,et al.  A network on chip architecture and design methodology , 2002, Proceedings IEEE Computer Society Annual Symposium on VLSI. New Paradigms for VLSI Systems Design. ISVLSI 2002.

[18]  W. Daniel Hillis,et al.  The Network Architecture of the Connection Machine CM-5 , 1996, J. Parallel Distributed Comput..

[19]  F. Ellinger,et al.  A 100-mW 4/spl times/10 Gb/s transceiver in 80-nm CMOS for high-density optical interconnects , 2005, IEEE Journal of Solid-State Circuits.

[20]  Alberto L. Sangiovanni-Vincentelli,et al.  Addressing the system-on-a-chip interconnect woes through communication-based design , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[21]  Fang Xu,et al.  Cascaded active silicon microresonator array cross-connect circuits for WDM networks-on-chip , 2008, SPIE OPTO.

[22]  F. Xia,et al.  Ultracompact optical buffers on a silicon chip , 2007 .

[23]  Hui Chen,et al.  Predictions of CMOS compatible on-chip optical interconnect , 2005, SLIP '05.

[24]  M. Islam,et al.  Extended-butterfly fat tree interconnection (EFTI) architecture for network on chip , 2005, PACRIM. 2005 IEEE Pacific Rim Conference on Communications, Computers and signal Processing, 2005..

[25]  Alain Greiner,et al.  SPIN: a scalable, packet switched, on-chip micro-network , 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.

[26]  Luciano Lavagno,et al.  Asynchronous on-chip networks , 2005 .

[27]  Fabien Mieyeville,et al.  System Level Assessment of an Optical NoC in an MPSoC Platform , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.

[28]  Gustavo Marrero Callicó,et al.  A multicast inter-task communication protocol for embedded multiprocessor systems , 2005, 2005 Third IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS'05).

[29]  Qianfan Xu,et al.  12.5 Gbit/s carrier-injection-based silicon micro-ring silicon modulators. , 2007, Optics express.