A New TDDB Degradation Model Based on Cu Ion Drift in Cu Interconnect Dielectrics

A new physical model of time-dependent dielectric breakdown (TDDB) in Cu interconnect dielectrics is proposed. TDDB occurs due to the drift of Cu ions under an electric field E. An activation energy analysis of the leakage current demonstrates that these injected Cu ions affect the conduction mechanism of electrons. The dominant electron conduction mechanism changes from Poole-Frenkel electron current through the Cu barrier dielectrics to Fowler-Nordheim current due to the Cu pile-up at the cathode end. We assumed two possible types of Cu ion drift mechanism, Schottky type or Poole-Frenkel type. The field acceleration model (radicE model) of the Poole-Frenkel type fits both TDDB lifetime and activation energy very well. The TDDB lifetime is proportional to the exponential of the square root of the electric field radicE

[1]  Kenichi Takeda,et al.  Copper-induced dielectric breakdown in silicon oxide deposited by plasma-enhanced chemical vapor deposition using trimethoxysilane , 2003 .

[2]  Ennis T. Ogawa,et al.  Leakage, breakdown, and TDDB characteristics of porous low-k silica-based interconnect dielectrics , 2003, 2003 IEEE International Reliability Physics Symposium Proceedings, 2003. 41st Annual..

[3]  Chien Chiang,et al.  Diffusion of copper through dielectric films under bias temperature stress , 1995 .

[4]  Tan Changhua,et al.  Field‐assisted thermally stimulated current of mobile ions in a dielectric layer of a metal–oxide–semiconductor structure , 1983 .

[5]  H. Cui,et al.  Time-dependent dielectric breakdown of hydrogenated silicon carbon nitride thin films under the influence of copper ions , 2004 .

[6]  K. Hinode,et al.  Impact of low-k dielectrics and barrier metals on TDDB lifetime of Cu interconnects , 2001, 2001 IEEE International Reliability Physics Symposium Proceedings. 39th Annual (Cat. No.00CH37167).

[7]  J. Gill,et al.  Investigation of CVD SiCOH low-k time-dependent dielectric breakdown at 65nm node technology , 2005, 2005 IEEE International Reliability Physics Symposium, 2005. Proceedings. 43rd Annual..

[8]  J. McPherson,et al.  Breakdown characteristics of interconnect dielectrics , 2005, 2005 IEEE International Reliability Physics Symposium, 2005. Proceedings. 43rd Annual..

[9]  J. McPherson,et al.  UNDERLYING PHYSICS OF THE THERMOCHEMICAL E MODEL IN DESCRIBING LOW-FIELD TIME-DEPENDENT DIELECTRIC BREAKDOWN IN SIO2 THIN FILMS , 1998 .

[10]  Kenji Hinode,et al.  Passivation effect of silicon nitride against copper diffusion , 1997 .

[11]  T. W. Sigmon,et al.  Diffusion of metals in silicon dioxide , 1983 .

[12]  W. Mckee,et al.  Leakage and breakdown reliability issues associated with low-k dielectrics in a dual-damascene Cu process , 2000, 2000 IEEE International Reliability Physics Symposium Proceedings. 38th Annual (Cat. No.00CH37059).

[13]  C. Svensson,et al.  Time dependent breakdown in silicon dioxide films , 1975 .