Multilevel global placement with congestion control

In this paper, we develop a multilevel global placement algorithm (MGP) integrated with fast incremental global routing for directly updating and optimizing congestion cost during physical hierarchy generation. Fast global routing is achieved using a fast two-bend routing and incremental A-tree algorithm. The routing congestion is modeled by the wire usage estimated by the fast global router. A hierarchical area density control is developed for placing objects with significant size variations. Experimental results show that, compared to GORDIAN-L, the wire length-driven MGP is 4-6.7 times faster and generates slightly better wire length for test circuits larger than 100000 cells. Moreover, the congestion-driven MGP improves wiring overflow by 45%-74% with 5% larger bounding box wire length but 3%-7% shorter routing wire length measured by a graph-based A-tree global router.

[1]  Vipin Kumar,et al.  Multilevel k-way hypergraph partitioning , 1999, DAC '99.

[2]  Andrew B. Kahng,et al.  Can recursive bisection alone produce routable, placements? , 2000, Proceedings 37th Design Automation Conference.

[3]  Vaughn Betz,et al.  VPR: A new packing, placement and routing tool for FPGA research , 1997, FPL.

[4]  Ren-Song Tsay,et al.  Early wirability checking and 2D congestion-driven circuit placement , 1992, [1992] Proceedings. Fifth Annual IEEE International ASIC Conference and Exhibit.

[5]  Joseph R. Shinnerl,et al.  Multilevel optimization for large-scale circuit placement , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

[6]  E.S. Kuh,et al.  PROUD: a sea-of-gates placement algorithm , 1988, IEEE Design & Test of Computers.

[7]  Jason Cong,et al.  Multilevel approach to full-chip gridless routing , 2001, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).

[8]  Ulrich Brenner,et al.  An effective congestion driven placement framework , 2002, ISPD '02.

[9]  George Karypis,et al.  Multilevel Hypergraph Partitioning , 2003 .

[10]  Konrad Doll,et al.  Analytical placement: a linear or a quadratic objective function? , 1991, 28th ACM/IEEE Design Automation Conference.

[11]  T. Chan,et al.  Robust multigrid methods for nonsmooth coefficient elliptic linear systems , 2000 .

[12]  Majid Sarrafzadeh,et al.  Congestion minimization during placement , 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[13]  Jason Cong,et al.  Performance driven multi-level and multiway partitioning with retiming , 2000, Proceedings 37th Design Automation Conference.

[14]  Jason Cong,et al.  Performance-driven multi-level clustering with application to hierarchical FPGA mapping , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[15]  C. Sechen,et al.  New algorithms for the placement and routing of macro cells , 1990, 1990 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.

[16]  Frank K. Hwang,et al.  The rectilinear steiner arborescence problem , 2005, Algorithmica.

[17]  Jonathan Rose,et al.  Temperature measurement of simulated annealing placements , 1988, [1988] IEEE International Conference on Computer-Aided Design (ICCAD-89) Digest of Technical Papers.

[18]  Yici Cai,et al.  A new congestion-driven placement algorithm based on cell inflation , 2001, ASP-DAC '01.

[19]  Jason Cong,et al.  Physical hierarchy generation with routing congestion control , 2002, ISPD '02.

[20]  Majid Sarrafzadeh,et al.  Congestion reduction during placement based on integer programming , 2001, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).

[21]  Jason Cong,et al.  Physical planning with retiming , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

[22]  Georg Sigl,et al.  GORDIAN: VLSI placement by quadratic programming and slicing optimization , 1991, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[23]  Sung-Woo Hur,et al.  Mongrel: hybrid techniques for standard cell placement , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

[24]  Andrew B. Kahng,et al.  Requirements for models of achievable routing , 2000, ISPD '00.

[25]  K. Takahashi,et al.  A congestion-driven placement improvement algorithm for large scale sea-of-gates arrays , 1997, Proceedings of CICC 97 - Custom Integrated Circuits Conference.

[26]  Frank M. Johannes,et al.  Generic global placement and floorplanning , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).

[27]  Chih-Liang Eric Cheng RISA: accurate and efficient placement routability modeling , 1994, ICCAD.

[28]  Ulrich Lauther,et al.  Congestion-driven placement using a new multi-partitioning heuristic , 1990, 1990 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.

[29]  Sung Kyu Lim,et al.  Edge separability based circuit clustering with application to circuit partitioning , 2000, Proceedings 2000. Design Automation Conference. (IEEE Cat. No.00CH37106).

[30]  Jens Vygen,et al.  Algorithms for large-scale flat placement , 1997, DAC.

[31]  Carl Sechen,et al.  Efficient and effective placement for very large circuits , 1993, ICCAD.

[32]  Shashi Shekhar,et al.  Multilevel hypergraph partitioning: applications in VLSI domain , 1999, IEEE Trans. Very Large Scale Integr. Syst..

[33]  Konrad Doll,et al.  DOMINO: Deterministic Placement Improvement with Hill-Climbing Capabilities , 1991, Conference on Advanced Research in VLSI.

[34]  Jason Cong,et al.  Performance driven multi-layer general area routing for PCB/MCM designs , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).

[35]  A. Sangiovanni-Vincentelli,et al.  The TimberWolf placement and routing package , 1985, IEEE Journal of Solid-State Circuits.

[36]  Jason Cong,et al.  An interconnect-centric design flow for nanometer technologies , 2001, Proc. IEEE.

[37]  Huang,et al.  AN EFFICIENT GENERAL COOLING SCHEDULE FOR SIMULATED ANNEALING , 1986 .

[38]  Majid Sarrafzadeh,et al.  Routability driven white space allocation for fixed-die standard-cell placement , 2002, ISPD '02.

[39]  D. Brandt,et al.  Multi-level adaptive solutions to boundary-value problems math comptr , 1977 .

[40]  Richard B. Brown,et al.  Congestion driven quadratic placement , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).

[41]  Majid Sarrafzadeh,et al.  Dragon2000: standard-cell placement tool for large industry circuits , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

[42]  Evangeline F. Y. Young,et al.  Integrated floorplanning and interconnect planning , 1999, 1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).

[43]  Chung-Kuan Cheng,et al.  Module Placement Based on Resistive Network Optimization , 1984, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.