Early-life-failure detection using SAT-based ATPG

Early-life failures (ELF) result from weak chips that may pass manufacturing tests but fail early in the field, much earlier than expected product lifetime. Recent experimental studies over a range of technologies have demonstrated that ELF defects result in changes in delays over time inside internal nodes of a logic circuit before functional failure occurs. Such changes in delays are distinct from delay degradation caused by circuit aging mechanisms such as Bias Temperature Instability. Traditional transition fault or robust path delay fault test patterns are inadequate for detecting such ELF-induced changes in delays because they do not model the demanding detection conditions precisely. In this paper, we present an automatic test pattern generation (ATPG) technique based on Boolean Satisfiability (SAT) for detecting ELF-induced delay changes at all gates in a given circuit. Our simulation results, using various circuit blocks from the industrial OpenSPARC T2 design as well as standard benchmarks, demonstrate the effectiveness and practicality of our approach in achieving high coverage of ELF-induced delay change detection. We also demonstrate the robustness of our approach to manufacturing process variations.

[1]  Songwei Pei,et al.  An on-chip clock generation scheme for faster-than-at-speed delay testing , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

[2]  Wolfgang Fichtner,et al.  Design and Experimental Characterization of a New Built-In Defect-Based Testing Technique to Achieve Zero Defects in the Automotive Environment , 2011 .

[3]  Onur Mutlu,et al.  Concurrent autonomous self-test for uncore components in system-on-chips , 2010, 2010 28th VLSI Test Symposium (VTS).

[4]  Hiroaki Inoue,et al.  VAST: Virtualization-Assisted Concurrent Autonomous Self-Test , 2008, 2008 IEEE International Test Conference.

[5]  Magdy S. Abadir,et al.  An experiment of burn-in time reduction based on parametric test analysis , 2012, 2012 IEEE International Test Conference.

[6]  S. Subramaniam,et al.  Successful Development and Implementation of Statistical Outlier Techniques on 90nm and 65nm Process Driver Devices , 2006, 2006 IEEE International Reliability Physics Symposium Proceedings.

[7]  Edward J. McCluskey,et al.  Detecting delay flaws by very-low-voltage testing , 1996, Proceedings International Test Conference 1996. Test and Design Validity.

[8]  Mark Johnson,et al.  At-Speed Structural Test For High-Performance ASICs , 2006, 2006 IEEE International Test Conference.

[9]  Bernd Becker,et al.  Efficient SAT-Based Search for Longest Sensitisable Paths , 2011, 2011 Asian Test Symposium.

[10]  Bram Kruseman,et al.  Comparison of I/sub DDQ/ testing and very-low voltage testing , 2002, Proceedings. International Test Conference.

[11]  Bernd Becker,et al.  Efficient SAT-based dynamic compaction and relaxation for longest sensitizable paths , 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[12]  Thomas J. Anderson,et al.  The impact of multiple failure modes on estimating product field reliability , 2006, IEEE Design & Test of Computers.

[13]  Bernd Becker,et al.  SAT-based analysis of sensitisable paths , 2011, 14th IEEE International Symposium on Design and Diagnostics of Electronic Circuits and Systems.

[14]  M. Rafik,et al.  Hot carrier degradation: From defect creation modeling to their impact on NMOS parameters , 2012, 2012 IEEE International Reliability Physics Symposium (IRPS).

[15]  J. Stathis,et al.  Dielectric breakdown mechanisms in gate oxides , 2005 .

[16]  Edward J. McCluskey,et al.  SHOrt voltage elevation (SHOVE) test for weak CMOS ICs , 1997, Proceedings. 15th IEEE VLSI Test Symposium (Cat. No.97TB100125).

[17]  Subhasish Mitra,et al.  Gate-oxide early-life failure identification using delay shifts , 2010, 2010 28th VLSI Test Symposium (VTS).

[18]  Eric Cheng,et al.  Self-repair of uncore components in robust system-on-chips: An OpenSPARC T2 case study , 2013, 2013 IEEE International Test Conference (ITC).

[19]  M. Shinosky,et al.  Critical ultra low-k TDDB reliability issues for advanced CMOS technologies , 2009, 2009 IEEE International Reliability Physics Symposium.

[20]  Hyunki Kim,et al.  Low-cost gate-oxide early-life failure detection in robust systems , 2010, 2010 Symposium on VLSI Circuits.

[21]  Subhasish Mitra,et al.  Gate-Oxide Early Life Failure Prediction , 2008, 26th IEEE VLSI Test Symposium (vts 2008).

[22]  Edmund M. Clarke,et al.  Counterexample-Guided Abstraction Refinement , 2000, CAV.

[23]  Bernd Becker,et al.  Detection of early-life failures in high-K metal-gate transistors and ultra low-K inter-metal dielectrics , 2013, Proceedings of the IEEE 2013 Custom Integrated Circuits Conference.

[24]  Subhasish Mitra,et al.  CASP: Concurrent Autonomous Chip Self-Test Using Stored Test Patterns , 2008, 2008 Design, Automation and Test in Europe.

[25]  Adit D. Singh,et al.  Output hazard-free transition tests for silicon calibrated scan based delay testing , 2006, 24th IEEE VLSI Test Symposium.

[26]  Phil Nigh,et al.  Test method evaluation experiments and data , 2000, Proceedings International Test Conference 2000 (IEEE Cat. No.00CH37159).

[27]  D. M. H. Walker,et al.  I/sub DDQ/ test: will it survive the DSM challenge? , 2002, IEEE Design & Test of Computers.

[28]  Adit D. Singh,et al.  An output compression scheme for handling X-states from over-clocked delay tests , 2010, 2010 28th VLSI Test Symposium (VTS).

[29]  Fen Chen,et al.  Temperature and field interrelation study of low-k TDDB for Cu interconnects with and without liner - New insights to the roles of Cu for a competing breakdown process , 2013, 2013 IEEE International Reliability Physics Symposium (IRPS).

[30]  Hans-Joachim Wunderlich Models in Hardware Testing , 2010 .

[31]  Serge N. Demidenko,et al.  Reducing burn-in time through high-voltage stress test and Weibull statistical analysis , 2006, IEEE Design & Test of Computers.

[32]  Hyung-Jin Park,et al.  Method of deciding burn-in stress voltage in conceptual design phase , 2010, 2010 IEEE International Reliability Physics Symposium.

[33]  Edward J. McCluskey,et al.  MINVDD testing for weak CMOS ICs , 2001, Proceedings 19th IEEE VLSI Test Symposium. VTS 2001.

[34]  J.W. McPherson,et al.  Disturbed bonding states in SiO/sub 2/ thin-films and their impact on time-dependent dielectric breakdown , 1998, 1998 IEEE International Reliability Physics Symposium Proceedings. 36th Annual (Cat. No.98CH36173).

[35]  Shekhar Y. Borkar,et al.  Designing reliable systems from unreliable components: the challenges of transistor variability and degradation , 2005, IEEE Micro.

[36]  A. Vassighi,et al.  Characterizing infant mortality in high volume manufacturing , 2008, 2008 IEEE International Reliability Physics Symposium.

[37]  W. Robert Daasch,et al.  Statistical post-processing at wafersort-an alternative to burn-in and a manufacturable solution to test limit setting for sub-micron technologies , 2002, Proceedings 20th IEEE VLSI Test Symposium (VTS 2002).

[38]  Niraj K. Jha,et al.  Testing of Digital Systems , 2003 .

[39]  Bernd Becker,et al.  Small-delay-fault ATPG with waveform accuracy , 2012, 2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[40]  Eric Lindbloom,et al.  Transition Fault Simulation , 1987, IEEE Design & Test of Computers.