Floorplet: Performance-aware Floorplan Framework for Chiplet Integration
暂无分享,去创建一个
A. Sangiovanni-Vincentelli | Shan Li | Tsung-Yi Ho | Shi-Jie Chen | Zheng Liang | Zhuang Zhen | Su Zheng | Beimin Yu
[1] A. Sangiovanni-Vincentelli,et al. Automated Design of Chiplets , 2023, ISPD.
[2] Bei Yu,et al. Multi-Package Co-Design for Chiplet Integration , 2022, 2022 IEEE/ACM International Conference On Computer Aided Design (ICCAD).
[3] K. Aygun,et al. Universal Chiplet Interconnect Express (UCIe): An Open Industry Standard for Innovations With Chiplets at Package Level , 2022, IEEE Transactions on Components, Packaging and Manufacturing Technology.
[4] Adam M. Izraelevitz,et al. Hammer: a modular and reusable physical design flow tool: invited , 2022, DAC.
[5] Mudasir Ahmad,et al. Heterogeneous Integration of Chiplets: Cost and Yield Tradeoff Analysis , 2022, 2022 23rd International Conference on Thermal, Mechanical and Multi-Physics Simulation and Experiments in Microelectronics and Microsystems (EuroSimE).
[6] Kaisheng Ma,et al. Chiplet actuary: a quantitative cost model and multi-chiplet architecture exploration , 2022, DAC.
[7] T. Chai,et al. Comprehensive Study of Thermal Impact on Warpage Behaviour of FOWLP with Different Die to Mold Ratio , 2021, 2021 IEEE 71st Electronic Components and Technology Conference (ECTC).
[8] S. Ichikawa,et al. Development of Highly Reliable Crack Resistive Build-up Dielectric Material with Low Df Characteristic for Next-Gen 2.5D Packages , 2021, 2021 IEEE 71st Electronic Components and Technology Conference (ECTC).
[9] S. Iyer,et al. Flexible Connectors and PCB Segmentation for Signaling and Power Delivery in Wafer-Scale Systems , 2021, 2021 IEEE 71st Electronic Components and Technology Conference (ECTC).
[10] Saibal Mukhopadhyay,et al. Architecture, Chip, and Package Codesign Flow for Interposer-Based 2.5-D Chiplet Integration Enabling Heterogeneous IP Reuse , 2020, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[11] Tushar Krishna,et al. Kite: A Family of Heterogeneous Interposer Topologies Enabled via Accurate Interconnect Modeling , 2020, 2020 57th ACM/IEEE Design Automation Conference (DAC).
[12] Krste Asanovic,et al. Chipyard: Integrated Design, Simulation, and Implementation Framework for Custom SoCs , 2020, IEEE Micro.
[13] Puneet Gupta,et al. Design Space Exploration for Chiplet-Assembly-Based Processors , 2020, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[14] Samuel Naffziger,et al. 2.2 AMD Chiplet Architecture for High-Performance Server and Desktop Products , 2020, 2020 IEEE International Solid- State Circuits Conference - (ISSCC).
[15] M. Tsai,et al. A Theoretical Solution for Thermal Warpage of Flip-Chip Packages , 2020, IEEE Transactions on Components, Packaging and Manufacturing Technology.
[16] Jerry Zhao,et al. Gemmini: Enabling Systematic Deep-Learning Architecture Evaluation via Full-Stack Integration , 2019, 2021 58th ACM/IEEE Design Automation Conference (DAC).
[17] Igor L. Markov,et al. Optimal die placement for interposer-based 3D ICs , 2018, 2018 23rd Asia and South Pacific Design Automation Conference (ASP-DAC).
[18] Lawrence T. Clark,et al. ASAP7 predictive design kit development and cell design technology co-optimization: Invited paper , 2017, 2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[19] Douglas C. H. Yu,et al. Advanced heterogeneous integration technology trend for cloud and edge , 2017, 2017 IEEE Electron Devices Technology and Manufacturing Conference (EDTM).
[20] Subramanian S. Iyer,et al. Heterogeneous Integration for Performance and Scaling , 2016, IEEE Transactions on Components, Packaging and Manufacturing Technology.
[21] F. X. Che,et al. Modeling and design solutions to overcome warpage challenge for fan-out wafer level packaging (FO-WLP) technology , 2015, 2015 IEEE 17th Electronics Packaging and Technology Conference (EPTC).
[22] David A. Patterson,et al. The Berkeley Out-of-Order Machine (BOOM): An Industry-Competitive, Synthesizable, Parameterized RISC-V Processor , 2015 .
[23] Ting-Chi Wang,et al. Floorplanning and signal assignment for silicon interposer-based 3D ICs , 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).
[24] Yao-Wen Chang,et al. Multiple chip planning for chip-interposer codesign , 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).
[25] Sung Kyu Lim,et al. Chip/package co-analysis of thermo-mechanical stress and reliability in TSV-based 3D ICs , 2012, DAC Design Automation Conference 2012.
[26] John L. Henning. SPEC CPU2006 benchmark descriptions , 2006, CARN.
[27] Arvind Kumar,et al. Silicon CMOS devices beyond scaling , 2006, IBM J. Res. Dev..
[28] J. A. Cunningham. The use and evaluation of yield models in integrated circuit manufacturing , 1990 .
[29] Adam M. Izraelevitz,et al. The Rocket Chip Generator , 2016 .
[30] G.E. Moore,et al. Cramming More Components Onto Integrated Circuits , 1998, Proceedings of the IEEE.