Minimum energy CMOS design with dual subthreshold supply and multiple logic-level gates

This paper presents a method for minimum energy digital CMOS circuit design using dual subthresh-old supply voltages. Stringent energy budget and moderate speed requirements of some ultra low power systems may not be best satisfied just by scaling a single supply voltage. Optimized circuits with dual supply voltages provide an opportunity to resolve these demands. The delay penalty of a traditional level converter is unacceptably high when the voltages are in the subthreshold range. In the present work level converters are not used and special multiple logic-level gates are used only when, after accounting for their cost, they offer advantage. Starting from a lowest per cycle energy design whose single supply voltage is in the subthreshold range, a new mixed integer linear program (MILP) finds a second lower supply voltage optimally assigned to gates with time slack. The MILP accounts for the energy and delay characteristics of logic gates interfacing two different signal levels. New types of linearized AND and OR constraints are used in this MILP. We show energy saving up to 24.5% over the best available designs of ISCAS'85 benchmark circuits.

[1]  David Blaauw,et al.  Near-Threshold Computing: Reclaiming Moore's Law Through Energy Efficient Integrated Circuits , 2010, Proceedings of the IEEE.

[2]  Brian W. Kernighan,et al.  AMPL: a mathematical programming language , 1989 .

[3]  V. Agrawal,et al.  Dual voltage design for minimum energy using gate slack , 2011, 2011 IEEE International Conference on Industrial Technology.

[4]  Yu Cao,et al.  New Generation of Predictive Technology Model for Sub-45 nm Early Design Exploration , 2006, IEEE Transactions on Electron Devices.

[5]  Daeyeon Kim,et al.  The Phoenix Processor: A 30pW platform for sensor applications , 2008, 2008 IEEE Symposium on VLSI Circuits.

[6]  Takashi Ishikawa,et al.  Automated low-power technique exploiting multiple supply voltages applied to a media processor , 1997, Proceedings of CICC 97 - Custom Integrated Circuits Conference.

[7]  Kaushik Roy,et al.  Ultra-low-power DLMS adaptive filter for hearing aid applications , 2003, IEEE Trans. Very Large Scale Integr. Syst..

[8]  W. Marsden I and J , 2012 .

[9]  Kimiyoshi Usami,et al.  Automated low-power technique exploiting multiple supply voltages applied to a media processor , 1998 .

[10]  A.P. Chandrakasan,et al.  Voltage Scalable Switched Capacitor DC-DC Converter for Ultra-Low-Power On-Chip Applications , 2007, 2007 IEEE Power Electronics Specialists Conference.

[11]  Abhijit Chatterjee,et al.  Level-shifter free design of low power dual supply voltage CMOS circuits using dual threshold voltages , 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[12]  Vishwani D. Agrawal,et al.  A Tutorial on Battery Simulation - Matching Power Source to Electronic System , 2010 .

[13]  Mohamed I. Elmasry,et al.  Dynamic and leakage power reduction in MTCMOS circuits using an automated efficient gate clustering technique , 2002, DAC '02.

[14]  Vishwani D. Agrawal,et al.  Minimum dynamic power CMOS circuit design by a reduced constraint set linear program , 2003, 16th International Conference on VLSI Design, 2003. Proceedings..

[15]  Dennis Sylvester,et al.  A new algorithm for improved VDD assignment in low power dual VDD systems , 2004, Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758).

[16]  David Bol,et al.  Nanometer MOSFET effects on the minimum-energy point of 45nm subthreshold logic , 2009, ISLPED.

[17]  A. Chandrakasan,et al.  A 180mV FFT processor using subthreshold circuit techniques , 2004, 2004 IEEE International Solid-State Circuits Conference (IEEE Cat. No.04CH37519).

[18]  Anantha Chandrakasan,et al.  Sub-threshold Design for Ultra Low-Power Systems , 2006, Series on Integrated Circuits and Systems.

[19]  Dennis Sylvester,et al.  High performance level conversion for dual V/sub DD/ design , 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[20]  David Blaauw,et al.  Optimal technology selection for minimizing energy and variability in low voltage applications , 2008, Proceeding of the 13th international symposium on Low power electronics and design (ISLPED '08).

[21]  Kaushik Roy,et al.  Ultra-low power DLMS adaptive filter for hearing aid applications , 2001, ISLPED '01.

[22]  A.P. Chandrakasan,et al.  Ultra-dynamic Voltage scaling (UDVS) using sub-threshold operation and local Voltage dithering , 2006, IEEE Journal of Solid-State Circuits.

[23]  Vishwani D. Agrawal,et al.  True Minimum Energy Design Using Dual Below-Threshold Supply Voltages , 2011, 2011 24th Internatioal Conference on VLSI Design.

[24]  Mark Horowitz,et al.  Clustered voltage scaling technique for low-power design , 1995, ISLPED '95.

[25]  David Blaauw,et al.  Theoretical and practical limits of dynamic voltage scaling , 2004, Proceedings. 41st Design Automation Conference, 2004..