Thermal-throttling server: A thermal-aware real-time task scheduling framework for three-dimensional multicore chips

A thermal-aware real-time scheduling framework for 3D multicore chips is proposed.A thermal size assignment is used to manage the heat generated by task executions.The thermal-throttling server handles run-time temperature management.The thermal-throttling real-time dispatchers are presented.The admission control ensures that all tasks meet the timing and thermal constraints. Three-dimensional (3D) multicore chips have been recently developed to deal with the power consumption and interconnection delay problems of embedded systems; however, thermal management has proven to be challenging due to the heat effect of vertically stacked cores, and the subsequent trade-off that occurs between performance requirements and overheating. In this paper we propose a novel thermal-aware real-time scheduling framework for 3D multicore chips to achieve an effective trade-off between system temperature and task schedulability for dynamic workloads. A thermal-throttling server is first proposed to adjust the heat generated by task executions, and a thermal-throttling dispatcher is then presented to enable thermal-awareness in well-known real-time dispatchers. An admission control is subsequently derived to ensure that all task executions satisfy the thermal and timing constraints. Lastly, a series of extensive simulations are carried out, with encouraging results in terms of schedulability and the prevention of overheating.

[1]  Laxmi N. Bhuyan,et al.  Thermal prediction and scheduling of network applications on multicore processors , 2013, Architectures for Networking and Communications Systems.

[2]  Chung Laung Liu,et al.  Scheduling Algorithms for Multiprogramming in a Hard-Real-Time Environment , 1989, JACM.

[3]  Yusuf Leblebici,et al.  Dynamic thermal management in 3D multicore architectures , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

[4]  Daniel F. García,et al.  Worst-case utilization bound for EDF scheduling on real-time multiprocessor systems , 2000, Proceedings 12th Euromicro Conference on Real-Time Systems. Euromicro RTS 2000.

[5]  Kevin Skadron,et al.  Control-theoretic techniques and thermal-RC modeling for accurate and localized dynamic thermal management , 2002, Proceedings Eighth International Symposium on High Performance Computer Architecture.

[6]  Chia-Lin Yang,et al.  Thermal coupling aware task migration using neighboring core search for many-core systems , 2013, 2013 International Symposium onVLSI Design, Automation, and Test (VLSI-DAT).

[7]  Ya-Shu Chen,et al.  A thermal-throttling server in 3D multicore chips , 2014, SAC.

[8]  Xiaobo Sharon Hu,et al.  Temperature-Aware Scheduling and Assignment for Hard Real-Time Applications on MPSoCs , 2011, IEEE Trans. Very Large Scale Integr. Syst..

[9]  Chong-Min Kyung,et al.  Runtime Power Management of 3-D Multi-Core Architectures Under Peak Power and Temperature Constraints , 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[10]  Gang Quan,et al.  Feasibility Analysis for Temperature-Constraint Hard Real-Time Periodic Tasks , 2010, IEEE Transactions on Industrial Informatics.

[11]  Lei He,et al.  Temperature and supply Voltage aware performance and power modeling at microarchitecture level , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[12]  Krishnendu Chakrabarty,et al.  An online thermal-constrained task scheduler for 3D multi-core processors , 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[13]  Ding-Ming Kwai,et al.  Thermal-aware on-line task allocation for 3D multi-core processor throughput optimization , 2011, 2011 Design, Automation & Test in Europe.

[14]  Qing Wu,et al.  Thermal-aware job allocation and scheduling for three dimensional chip multiprocessor , 2010, 2010 11th International Symposium on Quality Electronic Design (ISQED).

[15]  Jörg Henkel,et al.  Dynamic thermal management in 3D multi-core architecture through run-time adaptation , 2011, 2011 Design, Automation & Test in Europe.

[16]  Giovanni De Micheli,et al.  Temperature-aware runtime power management for chip-multiprocessors with 3-D stacked cache , 2014, Fifteenth International Symposium on Quality Electronic Design.

[17]  R.P. Dick,et al.  Adaptive multi-domain thermal modeling and analysis for integrated circuit synthesis and design , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.

[18]  Kang G. Shin,et al.  Predicting thermal behavior for temperature management in time-critical multicore systems , 2013, 2013 IEEE 19th Real-Time and Embedded Technology and Applications Symposium (RTAS).

[19]  F. Frances Yao,et al.  A scheduling model for reduced CPU energy , 1995, Proceedings of IEEE 36th Annual Foundations of Computer Science.

[20]  Dakai Zhu Reliability-Aware Dynamic Energy Management in Dependable Embedded Real-Time Systems , 2006, IEEE Real Time Technology and Applications Symposium.

[21]  Rajesh K. Gupta,et al.  Leakage aware dynamic voltage scaling for real-time embedded systems , 2004, Proceedings. 41st Design Automation Conference, 2004..

[22]  Jason Cong,et al.  Thermal-aware cell and through-silicon-via co-placement for 3D ICs , 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).

[23]  Jakob Engblom,et al.  The worst-case execution-time problem—overview of methods and survey of tools , 2008, TECS.

[24]  Meikang Qiu,et al.  Throughput maximization for periodic real-time systems under the maximal temperature constraint , 2014, ACM Trans. Embed. Comput. Syst..

[25]  Ya-Shu Chen,et al.  Adaptive thermal-aware task scheduling for multi-core systems , 2015, J. Syst. Softw..

[26]  Hsien-Hsin S. Lee,et al.  Design and analysis of 3D-MAPS: A many-core 3D processor with stacked memory , 2010, IEEE Custom Integrated Circuits Conference 2010.

[27]  Meikang Qiu,et al.  Thermal-aware task scheduling in 3D chip multiprocessor with real-time constrained workloads , 2013, TECS.

[28]  Rami G. Melhem,et al.  Determining optimal processor speeds for periodic real-time tasks with different power characteristics , 2001, Proceedings 13th Euromicro Conference on Real-Time Systems.

[29]  Lothar Thiele,et al.  Proactive Speed Scheduling for Real-Time Tasks under Thermal Constraints , 2009, 2009 15th IEEE Real-Time and Embedded Technology and Applications Symposium.

[30]  Huazhong Yang,et al.  Accurate temperature-dependent integrated circuit leakage power estimation is easy , 2007 .

[31]  Song Jin,et al.  On optimizing system energy of voltage-frequency island based 3-D multi-core SoCs under thermal constraints , 2015, Integr..

[32]  Rami G. Melhem,et al.  Minimizing expected energy in real-time embedded systems , 2005, EMSOFT.

[33]  Gang Quan,et al.  Leakage Aware Scheduling on Maximum Temperature Minimization for Periodic Hard Real-Time Systems , 2010, CIT.

[34]  Daniel F. García,et al.  Utilization Bounds for Multiprocessor Rate-Monotonic Scheduling , 2004, Real-Time Systems.

[35]  David Blaauw,et al.  Centip3De: a many-core prototype exploring 3D integration and near-threshold computing , 2013, CACM.

[36]  Li Shang,et al.  Three-Dimensional Chip-Multiprocessor Run-Time Thermal Management , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[37]  Marek Chrobak,et al.  Algorithms for temperature-aware task scheduling in microprocessor systems , 2011, Sustain. Comput. Informatics Syst..

[38]  Lothar Thiele,et al.  Thermal-Aware Global Real-Time Scheduling on Multicore Systems , 2009, 2009 15th IEEE Real-Time and Embedded Technology and Applications Symposium.

[39]  Todd M. Austin,et al.  SimpleScalar: An Infrastructure for Computer System Modeling , 2002, Computer.

[40]  Kiyoung Choi,et al.  THOR: Orchestrated thermal management of cores and networks in 3D many-core architectures , 2015, The 20th Asia and South Pacific Design Automation Conference.

[41]  Jun Yang,et al.  Thermal-Aware Task Scheduling for 3D Multicore Processors , 2010, IEEE Transactions on Parallel and Distributed Systems.

[42]  Ya-Shu Chen,et al.  Thermal-aware real-time task scheduling for three-dimensional multicore chip , 2012, SAC '12.