A tutorial on geometric programming

Abstract A geometric program (GP) is a type of mathematical optimization problem characterized by objective and constraint functions that have a special form. Recently developed solution methods can solve even large-scale GPs extremely efficiently and reliably; at the same time a number of practical problems, particularly in circuit design, have been found to be equivalent to (or well approximated by) GPs. Putting these two together, we get effective solutions for the practical problems. The basic approach in GP modeling is to attempt to express a practical problem, such as an engineering analysis or design problem, in GP format. In the best case, this formulation is exact; when this is not possible, we settle for an approximate formulation. This tutorial paper collects together in one place the basic background material needed to do GP modeling. We start with the basic definitions and facts, and some methods used to transform problems into GP format. We show how to recognize functions and problems compatible with GP, and how to approximate functions or data in a form compatible with GP (when this is possible). We give some simple and representative examples, and also describe some common extensions of GP, along with methods for solving (or approximately solving) them.

[1]  Selmer M. Johnson,et al.  A Linear Programming Approach to the Chemical Equilibrium Problem , 1958 .

[2]  J. Davenport Editor , 1960 .

[3]  R. J. Clasen THE LINEAR-LOGARITHMIC PROGRAMMING PROBLEM , 1963 .

[4]  A. Kaufmann,et al.  Methods and models of operations research , 1963 .

[5]  E. L. Lawler,et al.  Branch-and-Bound Methods: A Survey , 1966, Oper. Res..

[6]  Clarence Zener,et al.  Geometric Programming : Theory and Application , 1967 .

[7]  Douglass J. Wilde,et al.  Foundations of Optimization. , 1967 .

[8]  R. Duffin Linearizing Geometric Programs , 1970 .

[9]  Clarence Zener,et al.  Engineering design by geometric programming , 1971 .

[10]  Peter Nijkamp,et al.  Planning of industrial complexes by means of geometric programming , 1972 .

[11]  Clarence Zener,et al.  Geometric Programming , 1974 .

[12]  R. Dembo,et al.  Solution of Generalized Geometric Programs , 1975 .

[13]  R. A. Cuninghame-Green,et al.  Applied geometric programming , 1976 .

[14]  Douglass J. Wilde,et al.  Globally optimal design , 1978 .

[15]  Gary A. Kochenberger,et al.  Sensitivity Analysis Procedures for Geometric Programs: Computational Aspects , 1978, TOMS.

[16]  A.S.L. Chan,et al.  An approximate method for structural optimisation , 1978 .

[17]  Peter Doyle,et al.  CHANNEL OPTIMIZATION IN COMPLEX MARKETING SYSTEMS , 1979 .

[18]  Mordecai Avriel Advances in Geometric Programming , 1980 .

[19]  J. Ecker Geometric Programming: Methods, Computations and Applications , 1980 .

[20]  Kishor S. Trivedi,et al.  Optimal Design of Linear Storage Hierarchies , 1981, JACM.

[21]  U. Passy,et al.  The Geometric Programming Dual to the Extinction Probability Problem in Simple Branching Processes , 1981 .

[22]  Paul Penfield,et al.  Signal Delay in RC Tree Networks , 1981, 18th Design Automation Conference.

[23]  D. Wong Maximum likelihood, entropy maximization, and the geometric programming approaches to the calibration of trip distribution models , 1981 .

[24]  R. Dembo Sensitivity analysis in geometric programming , 1982 .

[25]  R. Beatson Monotone and Convex Approximation by Splines: Error Estimates and a Curve Fitting Algorithm , 1982 .

[26]  T. Sakurai,et al.  Approximation of wiring delay in MOSFET LSI , 1983, IEEE Journal of Solid-State Circuits.

[27]  T. R. Jefferson,et al.  Maximum likelihood estimates for multinomial probabilities via geometric programming , 1983 .

[28]  Mark Horowitz,et al.  Signal Delay in RC Tree Networks , 1983, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[29]  Richard J. Clasen The Solution of the Chemical Equilibrium Programming Problem with Generalized Benders Decomposition , 1984, Oper. Res..

[30]  David G. Luenberger,et al.  Linear and nonlinear programming , 1984 .

[31]  Yves Smeers,et al.  A Geometric Programming Model for the Optimal Design of Wastewater Treatment Plants , 1984, Oper. Res..

[32]  Y. Vardi Empirical Distributions in Selection Bias Models , 1985 .

[33]  M. J. Rijckaert,et al.  Geometric Programming: Estimation of Lagrange Multipliers , 1985, Oper. Res..

[34]  P. Hajela Geometric programming strategies in large-scale structural synthesis , 1986 .

[35]  Hojjat Adeli,et al.  Efficient optimization of space trusses , 1986 .

[36]  Gene Woolsey,et al.  OR Practice - Solving Complex Chemical Equilibria Using a Geometric-Programming Based Technique , 1986, Oper. Res..

[37]  M.D. Matson,et al.  Macromodeling and Optimization of Digital MOS VLSI Circuits , 1986, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[38]  Marc Teboulle,et al.  Rate distortion theory with generalized information measures via convex programming duality , 1986, IEEE Trans. Inf. Theory.

[39]  Marietta J. Tretter,et al.  An Interval Arithmetic Approach to Sensitivity Analysis in Geometric Programming , 1987, Oper. Res..

[40]  A. Charnes,et al.  The role of duality in optimization problems involving entropy functionals with applications to information theory , 1988 .

[41]  Alberto Sangiovanni-Vincentelli,et al.  Optimization-based transistor sizing , 1988 .

[42]  J. Kyparisis,et al.  Sensitivity analysis in posynomial geometric programming , 1988 .

[43]  Inchi Hu,et al.  Irreversible Adaptive Allocation Rules , 1989 .

[44]  E. Rosenberg,et al.  Optimal module sizing in VLSI floorplanning by nonlinear programming , 1989, ZOR Methods Model. Oper. Res..

[45]  J. Kyparisis Sensitivity analysis in geometric programming: Theory and computations , 1991 .

[46]  D. Bricker,et al.  Posynomial geometric programming as a special case of semi-infinite linear programming , 1990 .

[47]  Nand K. Jha A Discrete Data Base Multiple Objective Optimization of Milling Operation Through Geometric Programming , 1990 .

[48]  T.C.E. Cheng,et al.  An Economic Order Quantity Model with Demand-Dependent Unit Production Cost and Imperfect Production Processes , 1991 .

[49]  Ramon E. Moore Global optimization to prescribed accuracy , 1991 .

[50]  Balaur S. Dhillon,et al.  Optimum Design of Composite Hybrid Plate Girders , 1991 .

[51]  H. E. Salomone,et al.  Posynomial modeling of batch plants: A procedure to include process decision variables , 1992 .

[52]  Amitava Dutta,et al.  An optimization model of communications satellite planning , 1992, IEEE Trans. Commun..

[53]  Ting-Yu Chen Structural optimization using single-term posynomial geometric programming , 1992 .

[54]  T. Terlaky,et al.  A geometric programming approach to the channel capacity problem , 1992 .

[55]  DaeSoo Kim,et al.  OPTIMAL AND HEURISTIC DECISION STRATEGIES FOR INTEGRATED PRODUCTION AND MARKETING PLANNING , 1993 .

[56]  Gerard J. Foschini,et al.  A simple distributed autonomous power control algorithm and its convergence , 1993 .

[57]  R. M. Stark,et al.  Chance design costs-a distributional limit , 1993, 1993 (2nd) International Symposium on Uncertainty Modeling and Analysis.

[58]  Sung-Mo Kang,et al.  An exact solution to the transistor sizing problem for CMOS circuits using convex optimization , 1993, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[59]  Jason Cong,et al.  Simultaneous driver and wire sizing for performance and power optimization , 1994, ICCAD.

[60]  Hammou El Barmi,et al.  Restricted multinomial maximum likelihood estimation based upon Fenchel duality , 1994 .

[61]  H. E. Salomone,et al.  Dynamic simulations in the design of batch processes , 1994 .

[62]  Jason Cong,et al.  Optimal wiresizing under Elmore delay model , 1995, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[63]  Dimitri P. Bertsekas,et al.  Nonlinear Programming , 1997 .

[64]  Jason Cong,et al.  Optimal wiresizing for interconnects with multiple sources , 1995, TODE.

[65]  Harvey J. Greenberg,et al.  Mathematical Programming Models for Environmental Quality Control , 1995, Oper. Res..

[66]  J. C. Choi,et al.  GEOMETRIC PROGRAMMING WITH SEVERAL DISCRETE VARIABLES: ALGORITHMS EMPLOYING GENERALIZED BENDERS' DECOMPOSITION , 1995 .

[67]  Katsundo Hitomi Manufacturing Systems Engineering: A Unified Approach to Manufacturing Technology, Production Management and Industrial Economics , 1996 .

[68]  Sachin S. Sapatnekar,et al.  Wire sizing as a convex optimization problem: exploring the area-delay tradeoff , 1996, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[69]  Olivier Coudert,et al.  New algorithms for gate sizing: a comparative study , 1996, DAC '96.

[70]  Sachin S. Sapatnekar,et al.  Optimal design of macrocells for low power and high speed , 1996, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[71]  S. Hakimi,et al.  Globally optimal floorplanning for a layout problem , 1996 .

[72]  Yinyu Ye,et al.  An infeasible interior-point algorithm for solving primal and dual geometric programs , 1997, Math. Program..

[73]  J. C. Choi,et al.  Effectiveness of a geometric programming algorithm for optimization of machining economics models , 1996, Comput. Oper. Res..

[74]  J. C. Choi,et al.  A heuristic procedure for rounding posynomial geometric programming solutions to discrete values , 1996 .

[75]  Ram Krishnamurthy,et al.  Exploring the design space of mixed swing quadrail for low-power digital circuits , 1997, IEEE Trans. Very Large Scale Integr. Syst..

[76]  Yun Kang Sui,et al.  Second-order method of generalized geometric programming for spatial frame optimization , 1997 .

[77]  Martin D. F. Wong,et al.  Optimal shape function for a bi-directional wire under Elmore delay model , 1997, 1997 Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).

[78]  John K. Karlof,et al.  Optimal permutation codes for the Gaussian channel , 1997, IEEE Trans. Inf. Theory.

[79]  A. Hariri,et al.  Multi-item production lot-size inventory model with varying order cost under a restriction: A geometric programming approach , 1997 .

[80]  Kenneth O. Kortanek,et al.  Maximum likelihood estimates with order restrictions on probabilities and odds ratios: A geometric programming approach , 1997, Adv. Decis. Sci..

[81]  D. Bricker,et al.  Investigation of path-following algorithms for signomial geometric programming problems , 1997 .

[82]  Mary Jane Irwin,et al.  A fast algorithm for minimizing the Elmore delay to identified critical sinks , 1997, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[83]  M. Abou-El-Ata,et al.  Multi-item EOQ inventory model with varying holding cost under two restrictions: A geometric programming approach , 1997 .

[84]  Stephen P. Boyd,et al.  GPCAD: a tool for CMOS op-amp synthesis , 1998, 1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287).

[85]  Lawrence T. Pileggi,et al.  EWA: efficient wiring-sizing algorithm for signal nets and clock nets , 1998, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[86]  Hanif D. Sherali,et al.  Global Optimization of Nonconvex Polynomial Programming Problems Having Rational Exponents , 1998, J. Glob. Optim..

[87]  Yinyu Ye,et al.  A Computational Study of the Homogeneous Algorithm for Large-scale Convex Optimization , 1998, Comput. Optim. Appl..

[88]  Martin D. F. Wong,et al.  Fast and exact simultaneous gate and wire sizing by Lagrangian relaxation , 1998, 1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287).

[89]  Martin D. F. Wong,et al.  Greedy wire-sizing is linear time , 1998, ISPD '98.

[90]  Sachin S. Sapatnekar,et al.  Speeding up pipelined circuits through a combination of gate sizing and clock skew optimization , 1998, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[91]  Sabih H. Gerez,et al.  Algorithms for VLSI design automation , 1998 .

[92]  Stephen P. Boyd,et al.  Design and optimization of LC oscillators , 1999, 1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).

[93]  Stephen J. Wright,et al.  Numerical Optimization , 2018, Fundamental Statistical Inference.

[94]  Martin D. F. Wong,et al.  An efficient and optimal algorithm for simultaneous buffer and wire sizing , 1999, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[95]  Wei Chen,et al.  Concurrent logic restructuring and placement for timing closure , 1999, 1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).

[96]  I. Sutherland,et al.  Logical Effort: Designing Fast CMOS Circuits , 1999 .

[97]  Jason Cong,et al.  Theory and algorithm of local-refinement-based optimization with application to device and interconnect sizing , 1999, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[98]  Türkay Dereli,et al.  Dynamic optimization of multipass milling operations via geometric programming , 1999 .

[99]  Yehea I. Ismail,et al.  Equivalent Elmore delay for RLC trees , 1999, DAC '99.

[100]  Stephen P. Boyd,et al.  Simple accurate expressions for planar spiral inductances , 1999, IEEE J. Solid State Circuits.

[101]  Martin D. F. Wong,et al.  Greedy wire-sizing is linear time , 1999, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[102]  Jayant Rajgopal,et al.  Robustness of posynomial geometric programming optima , 1999, Math. Program..

[103]  Sachin S. Sapatnekar,et al.  A new class of convex functions for delay modeling and itsapplication to the transistor sizing problem [CMOS gates] , 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[104]  Boris I. Kvasov,et al.  Methods of Shape-Preserving Spline Approximation , 2000 .

[105]  Inchi Hu,et al.  Asymptotically efficient strategies for a stochastic scheduling problem with order constraints , 2000 .

[106]  Weitong Chuang,et al.  Power-delay optimizations in gate sizing , 2000, TODE.

[107]  S. Sapatnekar,et al.  A New Class of Convex Functions for Delay Modeling and Its Application to the Transistor Sizing Problem , 2000 .

[108]  Panos Y. Papalambros,et al.  Principles of Optimal Design: Author Index , 2000 .

[109]  Stephen J. Wright,et al.  Numerical Optimization (Springer Series in Operations Research and Financial Engineering) , 2000 .

[110]  Wei Chen,et al.  Simultaneous gate sizing and placement , 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[111]  Stephen P. Boyd,et al.  Bandwidth extension in CMOS with optimized on-chip inductors , 2000, IEEE Journal of Solid-State Circuits.

[112]  Yao-Wen Chang,et al.  Crosstalk-driven interconnect optimization by simultaneous gate andwire sizing , 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[113]  Stephen P. Boyd,et al.  Optimal allocation of local feedback in multistage amplifiers via geometric programming , 2000, Proceedings of the 43rd IEEE Midwest Symposium on Circuits and Systems (Cat.No.CH37144).

[114]  Martin D. F. Wong,et al.  VLSI Circuit Performance Optimization by Geometric Programming , 2001, Ann. Oper. Res..

[115]  Trevor Hastie,et al.  The Elements of Statistical Learning , 2001 .

[116]  D. F. Wong,et al.  Closed form solutions to simultaneous buffer insertion/sizing and wire sizing , 2001, ACM Trans. Design Autom. Electr. Syst..

[117]  Tao Lin,et al.  RC(L) interconnect sizing with second order considerations via posynomial programming , 2001, ISPD '01.

[118]  Stephen P. Boyd,et al.  Optimal design of a CMOS op-amp via geometric programming , 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[119]  Evangeline F. Y. Young,et al.  Handling soft modules in general nonslicing floorplan usingLagrangian relaxation , 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[120]  Cerry M. Klein,et al.  Optimal inventory policies under decreasing cost functions via geometric programming , 2001, Eur. J. Oper. Res..

[121]  M. Yamada,et al.  Estimating the Firm Value Distribution Function by Entropy Optimization and Geometric Programming , 2001, Ann. Oper. Res..

[122]  Pradip Mandal,et al.  CMOS op-amp sizing using a geometric programming formulation , 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[123]  Elmor L. Peterson,et al.  The Origins of Geometric Programming , 2001, Ann. Oper. Res..

[124]  M. del Mar Hershenson,et al.  Design of pipeline analog-to-digital converters via geometric programming , 2002, ICCAD.

[125]  M. Sarrafzadeh,et al.  Simultaneous voltage scaling and gate sizing for low-power design , 2002 .

[126]  Jung-Fa Tsai,et al.  Global optimization for signomial discrete programming problems in engineering design , 2002 .

[127]  M. del Mar Hershenson Design of pipeline analog-to-digital converters via geometric programming , 2002 .

[128]  Stephen P. Boyd,et al.  QoS and fairness constrained convex optimization of resource allocation for wireless cellular and ad hoc networks , 2002, Proceedings.Twenty-First Annual Joint Conference of the IEEE Computer and Communications Societies.

[129]  Stephen P. Boyd,et al.  Efficient nonlinear optimizations of queuing systems , 2002, Global Telecommunications Conference, 2002. GLOBECOM '02. IEEE.

[130]  Yu-Min Lee,et al.  Optimal wire-sizing function under the Elmore delay model with bounded wire sizes , 2002 .

[131]  Refractor,et al.  Third webspace to thumb digital nerve transfer for traumatic avulsion injury , 2023, The Journal of hand surgery, European volume.

[132]  John E. Lavery,et al.  Univariate cubic L1 splines – A geometric programming approach , 2002, Math. Methods Oper. Res..

[133]  Stephen P. Boyd,et al.  Optimal power control in interference-limited fading wireless channels with outage-probability specifications , 2002, IEEE Trans. Wirel. Commun..

[134]  Maria del Mar Hershenson,et al.  Automated optimal design of switched-capacitor filters , 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

[135]  Jason Cong,et al.  Wire width planning for interconnect performance optimization , 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[136]  Mung Chiang,et al.  Convex optimization of output link scheduling and active queue management in QoS constrained packet switches , 2002, 2002 IEEE International Conference on Communications. Conference Proceedings. ICC 2002 (Cat. No.02CH37333).

[137]  Terence Soule,et al.  Genetic Programming: Theory and Practice , 2003 .

[138]  John M. Cioffi,et al.  Joint Tx-Rx beamforming design for multicarrier MIMO channels: a unified framework for convex optimization , 2003, IEEE Trans. Signal Process..

[139]  Stephen P. Boyd,et al.  Optimization of phase-locked loop circuits via geometric programming , 2003, Proceedings of the IEEE 2003 Custom Integrated Circuits Conference, 2003..

[140]  Mona F. El-Wakeel,et al.  Probabilistic multi-item inventory model with varying order cost under two restrictions: A geometric programming approach , 2003 .

[141]  Manisha Pattanaik,et al.  GP based transistor sizing for optimal design of nanoscale CMOS inverter , 2003, 2003 Third IEEE Conference on Nanotechnology, 2003. IEEE-NANO 2003..

[142]  Ralph H. J. M. Otten,et al.  Time budgeting in a wireplanning context , 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.

[143]  John P. Fishburn,et al.  TILOS: A posynomial programming approach to transistor sizing , 2003, ICCAD 2003.

[144]  Maria del Mar Hershenson,et al.  Efficient description of the design space of analog circuits , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

[145]  Chung-Kuan Cheng,et al.  Realizable parasitic reduction using generalized Y-Δ transformation , 2003, DAC.

[146]  Georges G. E. Gielen,et al.  Simulation-based generation of posynomial performance models for the sizing of analog integrated circuits , 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[147]  D. Chandra,et al.  Improved Routh-Pade/spl acute/ approximants: a computer-aided approach , 2004, IEEE Transactions on Automatic Control.

[148]  Xin Li,et al.  Robust analog/RF circuit design with projection-based posynomial modeling , 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..

[149]  Robert W. Brodersen,et al.  Automated design of operational transconductance amplifiers using reversed geometric programming , 2004, Proceedings. 41st Design Automation Conference, 2004..

[150]  Yao-Wen Chang,et al.  Timing modeling and optimization under the transmission line model , 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[151]  Stephen P. Boyd,et al.  Geometric programming duals of channel capacity and rate distortion , 2004, IEEE Transactions on Information Theory.

[152]  Chris C. N. Chu,et al.  Fitted Elmore delay: a simple and accurate interconnect delay model , 2002, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[153]  George J. Pappas,et al.  Geometric programming relaxations for linear system reachability , 2004, Proceedings of the 2004 American Control Conference.

[154]  R.W. Brodersen,et al.  Methods for true energy-performance optimization , 2004, IEEE Journal of Solid-State Circuits.

[155]  Ana Allueva,et al.  A General Alternative Procedure for Solving Negative Degree of Difficulty Problems in Geometric Programming , 2004, Comput. Optim. Appl..

[156]  Slawomir Stanczak,et al.  Optimal QoS tradeoff and power control in CDMA systems , 2004, IEEE INFOCOM 2004.

[157]  Stephen P. Boyd,et al.  ORACLE: optimization with recourse of analog circuits including layout extraction , 2004, Proceedings. 41st Design Automation Conference, 2004..

[158]  Georges G. E. Gielen,et al.  Performance modeling of analog integrated circuits using least-squares support vector machines , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

[159]  Chih-Kong Ken Yang,et al.  Techniques for improving the accuracy of geometric-programming based analog circuit design optimization , 2004, ICCAD 2004.

[160]  Thomas R. Jefferson,et al.  Duals for classical inventory models via generalized geometric programming , 2004, Adv. Decis. Sci..

[161]  Zhi-Quan Luo,et al.  Robust gate sizing by geometric programming , 2005, Proceedings. 42nd Design Automation Conference, 2005..

[162]  K. Bernstein,et al.  Scaling, power, and the future of CMOS , 2005, IEEE InternationalElectron Devices Meeting, 2005. IEDM Technical Digest..

[163]  K. Keutzer,et al.  Evaluating the Effectiveness of Statistical Gate Sizing for Power Optimization , 2005 .

[164]  Mung Chiang,et al.  Geometric Programming for Communication Systems , 2005, Found. Trends Commun. Inf. Theory.

[165]  Mung Chiang,et al.  Balancing transport and physical Layers in wireless multihop networks: jointly optimal congestion control and power control , 2005, IEEE Journal on Selected Areas in Communications.

[166]  Alvin Cheung,et al.  A new method for design of robust digital circuits , 2005, Sixth international symposium on quality electronic design (isqed'05).

[167]  R.A. Jabr,et al.  Application of geometric programming to transformer design , 2005, IEEE Transactions on Magnetics.

[168]  John E. Lavery,et al.  A Geometric Programming Framework for Univariate Cubic L1 Smoothing Splines , 2005, Ann. Oper. Res..

[169]  R.W. Dutton,et al.  Optimal doping profiles via geometric programming , 2005, IEEE Transactions on Electron Devices.

[170]  Stephen P. Boyd,et al.  Digital Circuit Optimization via Geometric Programming , 2005, Oper. Res..

[171]  Sarvesh Bhardwaj,et al.  Leakage minimization of nano-scale circuits in the presence of systematic and random variations , 2005, Proceedings. 42nd Design Automation Conference, 2005..

[172]  S. Fang,et al.  Shape-preserving properties of univariate cubic L 1 splines , 2005 .

[173]  Stephen P. Boyd,et al.  A heuristic method for statistical digital circuit sizing , 2006, SPIE Advanced Lithography.

[174]  Stephen P. Boyd,et al.  Convex Optimization , 2004, Algorithms and Theory of Computation Handbook.

[175]  Soha Hassoun,et al.  Gate sizing: finFETs vs 32nm bulk MOSFETs , 2006, 2006 43rd ACM/IEEE Design Automation Conference.

[176]  Marwan Krunz,et al.  Performance enhancement of adaptive orthogonal modulation in wireless CDMA systems , 2006, IEEE Journal on Selected Areas in Communications.

[177]  Yu Cao,et al.  Statistical leakage minimization through joint selection of gate sizes, gate lengths and threshold voltage , 2006, Asia and South Pacific Conference on Design Automation, 2006..

[178]  Chih-Kong Ken Yang,et al.  Evaluation of Fully-Integrated Switching Regulators for CMOS Process Technologies , 2007, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[179]  Stephen P. Boyd,et al.  A heuristic for optimizing stochastic activity networks with applications to statistical digital circuit sizing , 2007 .

[180]  Una-May O’Reilly,et al.  Design of Posynomial Models for Mosfets: Symbolic Regression Using Genetic Algorithms , 2007 .

[181]  Stephen P. Boyd,et al.  Tractable approximate robust geometric programming , 2007, Optimization and Engineering.

[182]  Costas D. Maranas,et al.  Global Optimization in Generalized Geometric Programming , 1997, Encyclopedia of Optimization.

[183]  Jordan L. Boyd-Graber,et al.  Maximum Likelihood , 2006 .

[184]  Stephen P. Boyd,et al.  Convex piecewise-linear fitting , 2009 .

[185]  Yanjun Wang,et al.  Geometric Programming , 2009, Encyclopedia of Optimization.

[186]  M. Avriel Sensitivity Analysis in Posynomial Geometric Programming , .