Layout-dependent aging mitigation for critical path timing

Layout-dependent effects (LDEs) are becoming increasingly important as technology node continues to shrink into the regime of FinFET transistors. Prior LDE studies mainly focus on accurate transistor modeling and fast circuit performance evaluations at the early lifetime of a design. Few studies have been performed on the layout dependency of the circuit aging towards the end of life (EOL). This study demonstrates that, due to transistor-level layout-dependent aging (LDA) behaviors, circuit-level timing degradations are greatly impacted by layout configurations, including length of diffusion and oxide spacing. In this paper, we propose the first circuit-level aging mitigation framework to improve the critical-path timing towards the EOL. Our framework features comprehensive LDA evaluations for standard cell timing, which shows that multiple-row height cells lead to worse EOL timing than singlerow height cells due to length-of-diffusion effects. We further propose a min-cost-flow-based placement approach to concurrently allocate the oxide spacing among neighboring standard cells, which generates much better EOL timing than a conventional greedy approach. Experimental results demonstrate that under the concurrent approach in the proposed aging mitigation framework, the total and worst negative slacks for EOL timing are on average reduced by 42% and 25%, respectively.

[1]  Sachin S. Sapatnekar,et al.  Optimization of FinFET-based circuits using a dual gate pitch technique , 2015, 2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[2]  Sachin S. Sapatnekar,et al.  Incorporating Hot-Carrier Injection Effects Into Timing Analysis for Large Circuits , 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[3]  Saurabh Sinha,et al.  ASAP7: A 7-nm finFET predictive process design kit , 2016, Microelectron. J..

[4]  Sorin Cotofana,et al.  A unified aging model of NBTI and HCI degradation towards lifetime reliability management for nanoscale MOSFET circuits , 2011, 2011 IEEE/ACM International Symposium on Nanoscale Architectures.

[5]  Hamid Reza Naji,et al.  Adaptive Technique for Overcoming Performance Degradation Due to Aging on 6T SRAM Cells , 2014, IEEE Transactions on Device and Materials Reliability.

[6]  Xiaoqing Xu,et al.  Standard cell library design and optimization methodology for ASAP7 PDK: (Invited paper) , 2017, 2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[7]  Jun-Dong Cho,et al.  Ultra-high density standard cell library using multi-height cell structure , 2008, Micro + Nano Materials, Devices, and Applications.

[8]  David Z. Pan,et al.  Design for manufacturability and reliability in extreme-scaling VLSI , 2016, Science China Information Sciences.

[9]  F. Andrieu,et al.  Reliability compact modeling approach for layout dependent effects in advanced CMOS nodes , 2017, 2017 IEEE International Reliability Physics Symposium (IRPS).

[10]  Yemin Dong,et al.  A comprehensive study of reducing the STI mechanical stress effect on channel-width-dependent Idsat , 2007 .

[11]  F. Nouri,et al.  NMOS drive current reduction caused by transistor layout and trench isolation induced stress , 1999, International Electron Devices Meeting 1999. Technical Digest (Cat. No.99CH36318).

[12]  Taiki Uemura,et al.  New insights into 10nm FinFET BTI and its variation considering the local layout effects , 2017, 2017 IEEE International Reliability Physics Symposium (IRPS).

[13]  David Z. Pan,et al.  Detailed placement in advanced technology nodes: A survey , 2016, 2016 13th IEEE International Conference on Solid-State and Integrated Circuit Technology (ICSICT).

[14]  Jianxin Fang,et al.  The Impact of BTI Variations on Timing in Digital Logic Circuits , 2013, IEEE Transactions on Device and Materials Reliability.

[15]  Mehdi Baradaran Tahoori,et al.  Aging- and Variation-Aware Delay Monitoring Using Representative Critical Path Selection , 2015, TODE.

[16]  David Z. Pan,et al.  MrDP: Multiple-row detailed placement of heterogeneous-sized cells for advanced nodes , 2016, 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[17]  Martin D. F. Wong,et al.  Optimal redistribution of white space for wire length minimization , 2005, ASP-DAC.

[18]  Ravindra K. Ahuja,et al.  Network Flows: Theory, Algorithms, and Applications , 1993 .

[19]  Yao-Wen Chang,et al.  Layout-dependent-effects-aware analytical analog placement , 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

[20]  Ru Huang,et al.  Adding the missing time-dependent layout dependency into device-circuit-layout co-optimization - New findings on the layout dependent aging effects , 2015, 2015 IEEE International Electron Devices Meeting (IEDM).