Design and method of fabricating phase-shift masks for extreme-ultraviolet lithography by partial etching into the EUV multilayer mirror

Phase Shift Masks (PSM) for Extreme Ultraviolet Lithography (EUVL) have the potential for extending the lithographic capability of EUVL beyond the 45-nm node. Typical PSM structures, such as for attenuated PSMs (Att-PSMs), are similar to those of binary masks in the sense that patterned structures of one or more layers of absorber (attenuator) are constructed on the EUV multilayer mirror to provide the correct amount of attenuation and phase shift. However, another type of PSM implemented by etching into the Mo/Si multilayers, rather than by adding lithographic structures on top of the Mo/Si multilayers (additive approach) can provide the required phase shift for both attenuated and hard PSMs. One of anticipated technical challenges, i.e. terminating ethcing at a specific depth with good surface uniformity can be sovled by employing an etch stop layer (ESL) embedded at a target depth inside the multilayer. In designing PSMs using this subtractive fabrication technique, the position and thickness of the ESL should be optimized, so that optical function of the multilayer substrate with embedded ESL should be same or close to when it does not have any embedded layer. According to simulation, the print bias for PSMs by etching into the multilayer stack to create the phase shift is smallest and near ideal compared to other types of PSMs or binary masks fabricated by conventional methods. The increase of depth of focus by 25-75% for contacts using an attenuated PSM and by 50-100% for lines using an ideal har dPSM is another lithographic advantage as well. The design and method of fabricating PSMs by etchign intothe multilayers is described, which include the optimziation of the thinkness and dpeth of the embedded layer. Experimental results of the multilayer etch process demonstrate initial feasibility of the subtractive approach to fabricating EUV PSMs.

[1]  Pei-yang Yan EUVL Alternating Phase Shift Mask Imaging Evaluation , 2002, Photomask Technology.

[2]  Iwao Nishiyama,et al.  Simulation study of pattern printability for reflective mask in EUV lithography , 2003, European Mask and Lithography Conference.

[3]  Pei-yang Yan,et al.  Understanding Bossung curve asymmetry and focus shift effect in EUV lithography , 2002, SPIE Photomask Technology.

[4]  Zhengrong Zhu,et al.  Rigorous EUV mask simulator using 2D and 3D waveguide methods , 2003, SPIE Advanced Lithography.

[5]  Eric M. Gullikson,et al.  Equivalent multilayer bandwidth and comparison between 13.4 nm and 14.4 nm for EUV throughput calculation , 2001, SPIE Advanced Lithography.

[6]  裕幸 飯田,et al.  International Technology Roadmap for Semiconductors 2003の要求清浄度について - シリコンウエハ表面と雰囲気環境に要求される清浄度, 分析方法の現状について - , 2004 .

[7]  Scott Daniel Hector,et al.  Critical dimension control in optical lithography , 2003 .

[8]  Kevin D. Lucas,et al.  Extension of the traditional optical model for investigation into EUV projection lithography capabilities , 1998, Advanced Lithography.

[10]  Kevin D. Lucas,et al.  Novel design of att-PSM structure for extreme-ultraviolet lithography and enhancement of image contrast during inspection , 2002, SPIE Advanced Lithography.

[11]  Andrew R. Neureuther,et al.  Performance of repaired defects and attPSM in EUV multilayer masks , 2002, Photomask Technology.

[12]  Thomas V. Pistor,et al.  Electromagnetic Simulation and Modeling With Applications In Lithography , 2001 .