An Efficient Degraded Deductive Fault Simulator for Small-Delay Defects

An efficient degraded deductive simulator for small delay defects is proposed. The proposed method takes into account the conditions of re-convergence sensitization and hazard-based detection, providing fast and accurate simulation results for small delay defects. Separate simulation strategies for faults with different fault effects are proposed. For faults on fault effects re-convergent fan-out stems, the serial simulation technique is applied. For other faults, a deductive simulation technique is proposed to accelerate the simulation. Different from previous works, serial simulations are carried out no longer for all faults on fan-out re-convergent stems, but only for fault effects re-convergences, and the other faults are parallel simulated with the degraded deductive technique, which eliminates “AND” operation and the propagation of fault-list is simpler than conventional deductive ones. Experimental results demonstrate that the proposed simulator that can further accelerate the fault simulation in efficiency. It achieves a 28.3X speedup on average compared with the serial simulation method, and a 3.92X speedup on average compared with the critical path tracing based method.

[1]  Bernd Becker,et al.  Small-delay-fault ATPG with waveform accuracy , 2012, 2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[2]  Douglas B. Armstrong,et al.  A Deductive Method for Simulating Faults in Logic Circuits , 1972, IEEE Transactions on Computers.

[3]  Yi Liu,et al.  Harzard-Based ATPG for Improving Delay Test Quality , 2015, J. Electron. Test..

[4]  Hyunki Kim,et al.  Low-cost gate-oxide early-life failure detection in robust systems , 2010, 2010 Symposium on VLSI Circuits.

[5]  Xiaoqing Wen,et al.  GPU-accelerated small delay fault simulation , 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[6]  Chen Wang,et al.  Timing-Aware ATPG for High Quality At-speed Testing of Small Delay Defects , 2006, 2006 15th Asian Test Symposium.

[7]  Phil Nigh,et al.  Test method evaluation experiments and data , 2000, Proceedings International Test Conference 2000 (IEEE Cat. No.00CH37159).

[8]  Narendra Devta-Prasanna,et al.  Effective and Efficient Test Pattern Generation for Small Delay Defect , 2009, 2009 27th IEEE VLSI Test Symposium.

[9]  Y. Sato,et al.  Evaluation of the statistical delay quality model , 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..

[10]  Li Liu,et al.  Small Delay Fault Simulation for Sequential Circuits , 2009, 2009 15th IEEE Pacific Rim International Symposium on Dependable Computing.

[11]  Fei Yu,et al.  Single Event Transient Propagation Probabilities Analysis for Nanometer CMOS Circuits , 2019, J. Electron. Test..

[12]  Hideo Fujiwara,et al.  Faster-than-at-speed test for increased test quality and in-field reliability , 2011, 2011 IEEE International Test Conference.

[13]  Bernd Becker,et al.  A Simulator of Small-Delay Faults Caused by Resistive-Open Defects , 2008, 2008 13th European Test Symposium.

[14]  Mark Mohammad Tehranipoor,et al.  Test-Pattern Grading and Pattern Selection for Small-Delay Defects , 2008, 26th IEEE VLSI Test Symposium (vts 2008).

[15]  Guido Gronthoud,et al.  On hazard-free patterns for fine-delay fault testing , 2004, 2004 International Conferce on Test.

[16]  Bernd Becker,et al.  Towards Variation-Aware Test Methods , 2011, 2011 Sixteenth IEEE European Test Symposium.

[17]  Adit D. Singh,et al.  Distinguishing Resistive Small Delay Defects from Random Parameter Variations , 2010, 2010 19th IEEE Asian Test Symposium.

[18]  Jishun Kuang,et al.  An efficient small-delay faults simulator based on critical path tracing , 2015, Int. J. Circuit Theory Appl..

[19]  Mark Mohammad Tehranipoor,et al.  Test and Diagnosis for Small-Delay Defects , 2011 .

[20]  Dong Sam Ha,et al.  HOPE: an efficient parallel fault simulator for synchronous sequential circuits , 1992, DAC '92.

[21]  Ernst G. Ulrich,et al.  Concurrent simulation of nearly identical digital networks , 1973, Computer.

[22]  Xiaoqing Wen,et al.  GPU-Accelerated Simulation of Small Delay Faults , 2017, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[23]  Rolf Drechsler,et al.  High Quality Test Pattern Generation and Boolean Satisfiability , 2012 .

[24]  Mark Mohammad Tehranipoor,et al.  Crosstalk- and Process Variations-Aware High-Quality Tests for Small-Delay Defects , 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[25]  Magdy S. Abadir,et al.  Reducing pattern delay variations for screening frequency dependent defects , 2005, 23rd IEEE VLSI Test Symposium (VTS'05).