An intra-chip free-space optical interconnect

Continued device scaling enables microprocessors and other systems-on-chip (SoCs) to increase their performance, functionality, and hence, complexity. Simultaneously, relentless scaling, if uncompensated, degrades the performance and signal integrity of on-chip metal interconnects. These systems have therefore become increasingly communications-limited. The communications-centric nature of future high performance computing devices demands a fundamental change in intra- and inter-chip interconnect technologies. Optical interconnect is a promising long term solution. However, while significant progress in optical signaling has been made in recent years, networking issues for on-chip optical interconnect still require much investigation. Taking the underlying optical signaling systems as a drop-in replacement for conventional electrical signaling while maintaining conventional packet-switching architectures is unlikely to realize the full potential of optical interconnects. In this paper, we propose and study the design of a fully distributed interconnect architecture based on free-space optics. The architecture leverages a suite of newly-developed or emerging devices, circuits, and optics technologies. The interconnect avoids packet relay altogether, offers an ultra-low transmission latency and scalable bandwidth, and provides fresh opportunities for coherency substrate designs and optimizations.

[1]  Jung Ho Ahn,et al.  Corona: System Implications of Emerging Nanophotonic Technology , 2008, 2008 International Symposium on Computer Architecture.

[2]  Bing Dang,et al.  Integrated Input/Output Interconnection and Packaging for GSI , 2006 .

[3]  Wei Wu,et al.  Fabrication of 5 nm linewidth and 14 nm pitch features by nanoimprint lithography , 2004 .

[4]  Todd M. Austin,et al.  The SimpleScalar tool set, version 2.0 , 1997, CARN.

[5]  Larry A. Coldren,et al.  Modulation and free-space link characteristics of monolithically integrated vertical-cavity lasers and photodetectors with microlenses , 1999 .

[6]  B. C. Koh,et al.  Microlensed vertical-cavity surface-emitting laser for stable single fundamental mode operation , 2002 .

[7]  Martin Burtscher,et al.  On the importance of optimizing the configuration of stream prefetchers , 2005, MSP '05.

[8]  Alpha 21264 / EV 6 Microprocessor Hardware Reference Manual , 2000 .

[9]  Jie Zhang,et al.  3-GHz Silicon Photodiodes Integrated in a 0.18-$\mu$m CMOS Technology , 2008, IEEE Photonics Technology Letters.

[10]  Albert Chin,et al.  Enhancement of quantum efficiency in thin photodiodes through absorptive resonance , 1991 .

[11]  Andrea C. Arpaci-Dusseau,et al.  Parallel programming in Split-C , 1993, Supercomputing '93. Proceedings.

[12]  Raymond G. Beausoleil,et al.  Nanoelectronic and Nanophotonic Interconnect , 2008, Proceedings of the IEEE.

[13]  A A Schäffer,et al.  Parallelization of general-linkage analysis problems. , 1994, Human heredity.

[14]  Jung Ho Ahn,et al.  A Nanophotonic Interconnect for High-Performance Many-Core Computation , 2008, 2008 16th IEEE Symposium on High Performance Interconnects.

[15]  Kevin Skadron,et al.  Temperature-aware microarchitecture , 2003, ISCA '03.

[16]  C. S. Wang,et al.  High-efficiency, high-speed VCSELs with 35 Gbit=s error-free operation , 2007 .

[17]  H. Li,et al.  Vertical-cavity surface-emitting laser devices , 2003 .

[18]  H. Hatakeyama,et al.  1.1-μm-range tunnel junction VCSELs with 27-GHz relaxation oscillation frequency , 2007, OFC/NFOEC 2007 - 2007 Conference on Optical Fiber Communication and the National Fiber Optic Engineers Conference.

[19]  Anoop Gupta,et al.  The SPLASH-2 programs: characterization and methodological considerations , 1995, ISCA.

[20]  Robert Metcalfe,et al.  Ethernet: distributed packet switching for local computer networks , 1988, CACM.

[21]  W H Knox,et al.  Wavelength-division multiplexing with femtosecond pulses. , 1995, Optics letters.

[22]  Alyssa B. Apsel,et al.  Leveraging Optical Technology in Future Bus-based Chip Multiprocessors , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).

[23]  Cary Gunn,et al.  CMOS Photonics for High-Speed Interconnects , 2006, IEEE Micro.

[24]  Edward A. Watson,et al.  Optical phased array technology , 1996, Proc. IEEE.

[25]  Alberto L. Sangiovanni-Vincentelli,et al.  On thermal effects in deep sub-micron VLSI interconnects , 1999, DAC '99.

[26]  Hui Chen,et al.  On-Chip Optical Interconnect Roadmap: Challenges and Critical Directions , 2005, IEEE Journal of Selected Topics in Quantum Electronics.

[27]  Lawrence G. Roberts,et al.  ALOHA packet system with and without slots and capture , 1975, CCRV.

[28]  Bianca E. N. Keeler Wavelength division multiplexed optical interconnects using short pulses , 2003 .

[29]  Ashok V. Krishnamoorthy,et al.  Optically augmented 3-D computer system , 1994, Proceedings of LEOS'94.

[30]  Larry A. Coldren,et al.  Monolithic integration of vertical-cavity laser diodes with refractive GaAs microlenses , 1995 .

[31]  Kenneth C. Yeager The Mips R10000 superscalar microprocessor , 1996, IEEE Micro.

[32]  S. Xiao,et al.  Multiple-channel silicon micro-resonator based filters for WDM applications. , 2007, Optics express.

[33]  Young Min Song,et al.  Self-Aligned Microlens-Integrated Vertical-Cavity Surface-Emitting Lasers , 2006, IEEE Photonics Technology Letters.

[34]  Minghao Qi,et al.  A highly compact third-order silicon microring add-drop filter with a very large free spectral range, a flat passband and a low delay dispersion. , 2007, Optics express.

[35]  P. Dumon,et al.  Low-loss, low-cross-talk crossings for silicon-on-insulator nanophotonic waveguides. , 2007, Optics letters.

[36]  Margaret Martonosi,et al.  Wattch: a framework for architectural-level power analysis and optimizations , 2000, Proceedings of 27th International Symposium on Computer Architecture (IEEE Cat. No.RS00201).

[37]  Sharad Malik,et al.  Orion: a power-performance simulator for interconnection networks , 2002, 35th Annual IEEE/ACM International Symposium on Microarchitecture, 2002. (MICRO-35). Proceedings..

[38]  T. Suleski,et al.  Fabrication trends for free-space microoptics , 2005, Journal of Lightwave Technology.

[39]  F.J. Leonberger,et al.  Optical interconnections for VLSI systems , 1984, Proceedings of the IEEE.

[40]  Keren Bergman,et al.  Building Ultralow-Latency Interconnection Networks Using Photonic Integration , 2007, IEEE Micro.

[41]  R. Soref,et al.  Electrooptical effects in silicon , 1987 .

[42]  A. Balandin,et al.  Chill Out , 2009, IEEE Spectrum.

[43]  Luca P. Carloni,et al.  On the Design of a Photonic Network-on-Chip , 2007, First International Symposium on Networks-on-Chip (NOCS'07).

[44]  D. Miller,et al.  Optical interconnects to silicon , 2000, IEEE Journal of Selected Topics in Quantum Electronics.

[45]  R. Pease,et al.  High-performance heat sinking for VLSI , 1981, IEEE Electron Device Letters.

[46]  T. von Eicken,et al.  Parallel programming in Split-C , 1993, Supercomputing '93.

[47]  M. Lipson,et al.  Wide temperature range operation of micrometer-scale silicon electro-optic modulators. , 2008, Optics letters.

[48]  S. Chou,et al.  Sub-10 nm imprint lithography and applications , 1997 .

[49]  Qianfan Xu,et al.  Cascaded silicon micro-ring modulators for WDM optical interconnection. , 2006, Optics express.

[50]  T. Barwicz,et al.  Fabrication of add-drop filters based on frequency-matched microring resonators , 2006, Journal of Lightwave Technology.

[51]  H. Haus,et al.  Microring resonator channel dropping filters , 1997 .

[52]  Sharad Malik,et al.  Orion: a power-performance simulator for interconnection networks , 2002, MICRO.

[53]  Shubhendu S. Mukherjee,et al.  The Alpha 21364 network architecture , 2001, HOT 9 Interconnects. Symposium on High Performance Interconnects.

[54]  Anoop Gupta,et al.  Parallel computer architecture - a hardware / software approach , 1998 .

[55]  Qianfan Xu,et al.  Micrometre-scale silicon electro-optic modulator , 2005, Nature.

[56]  Timothy Mark Pinkston,et al.  SPEED DMON: Cache Coherence on an Optical Multichannel Interconnect Architecture , 1997, J. Parallel Distributed Comput..

[57]  M. Morse,et al.  High speed silicon Mach-Zehnder modulator. , 2005, Optics express.

[58]  Wei Zhang,et al.  Sub-10 nm imprint lithography and applications , 1997, 1997 55th Annual Device Research Conference Digest.

[59]  Kai Li,et al.  The PARSEC benchmark suite: Characterization and architectural implications , 2008, 2008 International Conference on Parallel Architectures and Compilation Techniques (PACT).

[60]  Ashok V. Krishnamoorthy,et al.  Optically Augmented 3-D Computer: System Technology and Architecture , 1997, J. Parallel Distributed Comput..

[61]  G S Buller,et al.  Optoelectronic Systems Based on InGaAs- Complementary-Metal-Oxide-Semiconductor Smart-Pixel Arrays and Free-Space Optical Interconnects. , 1998, Applied optics.

[62]  Ashok V. Krishnamoorthy,et al.  Firehose Architectures for Free-Space Optically Interconnected VLSI Circuits , 1997, J. Parallel Distributed Comput..

[63]  Richard E. Kessler,et al.  Evaluating stream buffers as a secondary cache replacement , 1994, Proceedings of 21 International Symposium on Computer Architecture.

[64]  Duncan T. Moore,et al.  An Intra-Chip Free-Space Optical Interconnect: Extended Technical Report , 2010 .

[65]  Tymon Barwicz,et al.  Multistage high-order microring-resonator add-drop filters. , 2006, Optics letters.

[66]  F. Xia,et al.  High-throughput silicon nanophotonic wavelength-insensitive switch for on-chip optical networks , 2008 .

[67]  Cyrille Le Royer,et al.  3D monolithic integration , 2011, 2011 IEEE International Symposium of Circuits and Systems (ISCAS).

[68]  Hong Q. Hou,et al.  Monolithic integration of In0.2Ga0.8As vertical-cavity surface-emitting lasers with resonance-enhanced quantum well photodetectors , 1996 .