A 3-D Rotation-Based Through-Silicon via Redundancy Architecture for Clustering Faults

Three-dimensional integrated circuits (3-D ICs), which feature many benefits, such as high bandwidth and a high degree of integration, have recently received considerable attention from the semiconductor industry. However, these chips feature through-silicon vias (TSVs), which vertically connect multiple dies, and these TSVs may fail, resulting in a decreased yield. Unfortunately, previously proposed methods to repair TSVs cannot handle certain failure patterns. For example, existing techniques cannot repair clustered TSV faults, which commonly occur in practice. Furthermore, the number of signal TSVs typically determines the number of redundant TSVs, which may result in wasteful and redundant TSVs. In this paper, a new TSV repair scheme is proposed that replaces defective TSVs with redundant TSVs by utilizing the architecture of a cube, which can replace any face with any of the other faces. Both signal TSVs and redundant TSVs are placed in the face of cube, so any faulted TSVs can be replaced with redundant TSVs. The experimental results indicate that the new method guarantees 100% coverage with any number of signal TSVs and redundant TSVs.

[1]  Fangming Ye,et al.  TSV open defects in 3D integrated circuits: Characterization, test, and optimal spare allocation , 2012, DAC Design Automation Conference 2012.

[2]  Young-Hyun Jun,et al.  8 Gb 3-D DDR3 DRAM Using Through-Silicon-Via Technology , 2009, IEEE Journal of Solid-State Circuits.

[3]  Jianfei Jiang,et al.  A New Cellular-Based Redundant TSV Structure for Clustered Faults , 2019, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[4]  Bashir M. Al-Hashimi,et al.  Cost-Effective TSV Grouping for Yield Improvement of 3D-ICs , 2011, 2011 Asian Test Symposium.

[5]  Arvind Kumar,et al.  Three-dimensional integrated circuits , 2006, IBM J. Res. Dev..

[6]  Robert S. Patti,et al.  Three-Dimensional Integrated Circuits and the Future of System-on-Chip Designs , 2006, Proceedings of the IEEE.

[7]  Sang-Yun Lee,et al.  3D IC architecture for high density memories , 2010, 2010 IEEE International Memory Workshop.

[8]  C. Sharbono,et al.  Factors affecting copper filling process within high aspect ratio deep vias for 3D chip stacking , 2006, 56th Electronic Components and Technology Conference 2006.

[9]  TingTing Hwang,et al.  TSV redundancy: Architecture and design issues in 3D IC , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

[10]  Qiang Xu,et al.  On Effective Through-Silicon Via Repair for 3-D-Stacked ICs , 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[11]  S.K. Iyer,et al.  Electrically programmable fuse (eFUSE) using electromigration in silicides , 2002, IEEE Electron Device Letters.

[12]  TingTing Hwang,et al.  Architecture of Ring-Based Redundant TSV for Clustered Faults , 2015, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[13]  Suk-kyu Ryu,et al.  Thermal stress induced delamination of through silicon vias in 3-D interconnects , 2010, 2010 Proceedings 60th Electronic Components and Technology Conference (ECTC).

[14]  Sung Kyu Lim,et al.  Design method and test structure to characterize and repair TSV defect induced signal degradation in 3D system , 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[15]  Huaguo Liang,et al.  Architecture of Cobweb-Based Redundant TSV for Clustered Faults , 2016, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[16]  E. Beyne,et al.  3D integration by Cu-Cu thermo-compression bonding of extremely thinned bulk-Si die containing 10 μm pitch through-Si vias , 2006, 2006 International Electron Devices Meeting.

[17]  K. N. Tu,et al.  Reliability challenges in 3D IC packaging technology , 2011, Microelectron. Reliab..

[18]  Qiang Xu,et al.  Modeling TSV open defects in 3D-stacked DRAM , 2010, 2010 IEEE International Test Conference.

[19]  Yusuf Leblebici,et al.  Copper TSV-based die-level via-last 3D integration process with parylene-C adhesive bonding technique , 2016, 2016 IEEE International 3D Systems Integration Conference (3DIC).

[20]  Qiang Zhang,et al.  A TSV repair method for clustered faults , 2015, 2015 IEEE 11th International Conference on ASIC (ASICON).

[21]  Jianmin Miao,et al.  Void formation over limiting current density and impurity analysis of TSV fabricated by constant-current pulse-reverse modulation , 2013, Microelectron. Reliab..

[22]  N. Kernevez,et al.  Challenges for 3D IC integration: bonding quality and thermal management , 2007, 2007 IEEE International Interconnect Technology Conferencee.

[23]  Huaguo Liang,et al.  A Region-Based Through-Silicon via Repair Method for Clustered Faults , 2017, IEICE Trans. Electron..

[24]  V. Moroz,et al.  Performanace and reliability analysis of 3D-integration structures employing Through Silicon Via (TSV) , 2009, 2009 IEEE International Reliability Physics Symposium.

[25]  Yervant Zorian,et al.  Testing 3D chips containing through-silicon vias , 2009, 2009 International Test Conference.

[26]  H. Kitada,et al.  The influence of the size effect of copper interconnects on RC delay variability beyond 45nm technology , 2007, 2007 IEEE International Interconnect Technology Conferencee.

[27]  Amit Acharyya,et al.  A Cost-Effective Fault Tolerance Technique for Functional TSV in 3-D ICs , 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.