Design of Network-on-Chip Architectures With a Genetic Algorithm-Based Technique
暂无分享,去创建一个
Glenn Leary | Krishnan Srinivasan | Krishna Mehta | Karam S. Chatha | K. Srinivasan | G. Leary | Krishna Mehta
[1] Igor L. Markov,et al. Fixed-outline floorplanning: enabling hierarchical design , 2003, IEEE Trans. Very Large Scale Integr. Syst..
[2] Luca Benini,et al. Networks on Chips : A New SoC Paradigm , 2022 .
[3] Radu Marculescu,et al. Energy-aware mapping for tile-based NoC architectures under performance constraints , 2003, ASP-DAC '03.
[4] Luca Benini,et al. ×pipesCompiler: A Tool for Instantiating Application Specific Networks on Chip , 2004, DATE.
[5] William J. Dally,et al. Route packets, not wires: on-chip inteconnection networks , 2001, DAC '01.
[6] L. Benini,et al. /spl times/pipesCompiler: a tool for instantiating application specific networks on chip , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.
[7] Radu Marculescu,et al. Energy- and performance-driven NoC communication architecture synthesis using a decomposition approach , 2005, Design, Automation and Test in Europe.
[8] Sudhakar Yalamanchili,et al. Interconnection Networks: An Engineering Approach , 2002 .
[9] Krishnan Srinivasan,et al. Linear programming based techniques for synthesis of network-on-chip architectures , 2006, IEEE International Conference on Computer Design: VLSI in Computers and Processors, 2004. ICCD 2004. Proceedings..
[10] William J. Dally,et al. Deadlock-Free Message Routing in Multiprocessor Interconnection Networks , 1987, IEEE Transactions on Computers.
[11] Radu Marculescu,et al. Application-specific network-on-chip architecture customization via long-range link insertion , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..
[12] Niraj K. Jha,et al. MOGAC: a multiobjective genetic algorithm for hardware-software cosynthesis of distributed embedded systems , 1998, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[13] Luca Benini. Application Specific NoC Design , 2006, Proceedings of the Design Automation & Test in Europe Conference.
[14] Krishnan Srinivasan,et al. ISIS: a genetic algorithm based technique for custom on-chip interconnection network synthesis , 2005, 18th International Conference on VLSI Design held jointly with 4th International Conference on Embedded Systems Design.
[15] Alberto L. Sangiovanni-Vincentelli,et al. Efficient synthesis of networks on chip , 2003, Proceedings 21st International Conference on Computer Design.
[16] Nikil D. Dutt,et al. FABSYN: floorplan-aware bus architecture synthesis , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[17] Saurabh Dighe,et al. An 80-Tile 1.28TFLOPS Network-on-Chip in 65nm CMOS , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.
[18] Jari Nurmi,et al. IMPLEMENTATION OF INTERFACE ROUTER IP FOR PROTEO NETWORK-ON-CHIP , 2003 .
[19] Karam S. Chatha,et al. System level methodology for programming CMP based multi-threaded network processor architectures , 2005, IEEE Computer Society Annual Symposium on VLSI: New Frontiers in VLSI Design (ISVLSI'05).
[20] W. Dally,et al. Route packets, not wires: on-chip interconnection networks , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).