CACTI-FinFET: An integrated delay and power modeling framework for FinFET-based caches under process variations
暂无分享,去创建一个
[1] Rob A. Rutenbar,et al. From Finance to Flip Flops: A Study of Fast Quasi-Monte Carlo Methods from Computational Finance Applied to Statistical Circuit Analysis , 2007, 8th International Symposium on Quality Electronic Design (ISQED'07).
[2] G. O. Workman,et al. A process/physics-based compact model for nonclassical CMOS device and circuit design , 2004 .
[3] David Blaauw,et al. Statistical Timing Analysis for Intra-Die Process Variations with Spatial Correlations , 2003, ICCAD 2003.
[4] Jung Ho Ahn,et al. McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[5] Rajiv V. Joshi,et al. A High-Performance, Low Leakage, and Stable SRAM Row-Based Back-Gate Biasing Scheme in FinFET Technology , 2007, 20th International Conference on VLSI Design held jointly with 6th International Conference on Embedded Systems (VLSID'07).
[6] Young Min Kim,et al. Temperature Dependence of Substrate and Drain–Currents in Bulk FinFETs , 2007, IEEE Transactions on Electron Devices.
[7] Sachin S. Sapatnekar,et al. Statistical timing analysis under spatial correlations , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[8] Kaustav Banerjee,et al. Variability analysis of FinFET-based devices and circuits considering electrical confinement and width quantization , 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.
[9] Antonio Rubio,et al. Circuit propagation delay estimation through multivariate regression-based modeling under spatio-temporal variability , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).
[10] James Tschanz,et al. Parameter variations and impact on circuits and microarchitecture , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).
[11] Niraj K. Jha,et al. Die-level leakage power analysis of FinFET circuits considering process variations , 2010, 2010 11th International Symposium on Quality Electronic Design (ISQED).
[12] Zheng Guo,et al. FinFET-based SRAM design , 2005, ISLPED '05. Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005..
[13] Yong-Bin Kim,et al. Low power 8T SRAM using 32nm independent gate FinFET technology , 2008, 2008 IEEE International SOC Conference.
[14] B. Nikolic,et al. FinFET SRAM with Enhanced Read / Write Margins , 2006, 2006 IEEE international SOI Conferencee Proceedings.
[15] Maryam Shojaei Baghini,et al. A Novel Table-Based Approach for Design of FinFET Circuits , 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[16] Anish Muttreja,et al. CMOS logic design with independent-gate FinFETs , 2007, 2007 25th International Conference on Computer Design.
[17] Kaushik Roy,et al. The effect of process variation on device temperature in finFET circuits , 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.