Low-Power and Compact Analog-to-Digital Converter Using Spintronic Racetrack Memory Devices
暂无分享,去创建一个
David Blaauw | Dennis Sylvester | Qing Dong | Laura Fick | David Fick | Kaiyuan Yang | D. Blaauw | D. Sylvester | D. Fick | Qing Dong | Kaiyuan Yang | Laura Fick
[1] Xiaoyan Wang,et al. A 12fJ/conversion-step 8bit 10MS/s asynchronous SAR ADC for low energy radios , 2010, 2010 Proceedings of ESSCIRC.
[2] K. Roy,et al. Spin-Based Neuron Model With Domain-Wall Magnets as Synapse , 2012, IEEE Transactions on Nanotechnology.
[3] Shoji Ikeda,et al. Domain Wall Motion Device for Nonvolatile Memory and Logic — Size Dependence of Device Properties , 2014, IEEE Transactions on Magnetics.
[4] Michael P. Flynn,et al. Statistical Analysis of ENOB and Yield in Binary Weighted ADCs and DACS With Random Element Mismatch , 2012, IEEE Transactions on Circuits and Systems I: Regular Papers.
[5] David Blaauw,et al. Racetrack converter: A low power and compact data converter using racetrack spintronic devices , 2015, 2015 IEEE International Symposium on Circuits and Systems (ISCAS).
[6] Saori Kashiwada,et al. A 250-MHz 256b-I/O 1-Mb STT-MRAM with advanced perpendicular MTJ based dual cell for nonvolatile magnetic caches to reduce active power of processors , 2013, 2013 Symposium on VLSI Circuits.
[7] S. Datta,et al. Proposal for an all-spin logic device with built-in memory. , 2010, Nature nanotechnology.
[8] Swaroop Ghosh,et al. Adaptive Write and Shift Current Modulation for Process Variation Tolerance in Domain Wall Caches , 2016, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[9] Shunsuke Fukami,et al. Relation between critical current of domain wall motion and wire dimension in perpendicularly magnetized Co/Ni nanowires , 2009 .
[10] Jan M. Rabaey,et al. MOS current mode logic for low power, low noise CORDIC computation in mixed-signal environments , 2000, ISLPED'00: Proceedings of the 2000 International Symposium on Low Power Electronics and Design (Cat. No.00TH8514).
[11] David Blaauw,et al. 13.7 A reconfigurable sense amplifier with auto-zero calibration and pre-amplification in 28nm CMOS , 2014, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).
[12] Lawrence T. Pileggi,et al. mLogic: Ultra-low voltage non-volatile logic circuits using STT-MTJ devices , 2012, DAC Design Automation Conference 2012.
[13] Michael T. Niemier,et al. Reliable and high performance STT-MRAM architectures based on controllable-polarity devices , 2015, 2015 33rd IEEE International Conference on Computer Design (ICCD).
[14] Brian Taylor,et al. Adaptive post-silicon tuning for analog circuits: concept, analysis and optimization , 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.
[15] Xinqiao Liu,et al. A 10000 frames/s CMOS digital pixel sensor , 2001, IEEE J. Solid State Circuits.
[16] P. Chevalier,et al. Racetrack memory cell array with integrated magnetic tunnel junction readout , 2011, 2011 International Electron Devices Meeting.
[17] Weisheng Zhao,et al. Perpendicular-magnetic-anisotropy CoFeB racetrack memory , 2012 .
[18] Jacques-Olivier Klein,et al. Design and analysis of Racetrack memory based on magnetic domain wall motion in nanowires , 2014, 2014 IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH).
[19] M. Furuta,et al. A High-Speed, High-Sensitivity Digital CMOS Image Sensor With a Global Shutter and 12-bit Column-Parallel Cyclic A/D Converters , 2007, IEEE Journal of Solid-State Circuits.
[20] S. Fukami,et al. Scalability Prospect of Three-Terminal Magnetic Domain-Wall Motion Device , 2012, IEEE Transactions on Magnetics.
[21] S. Fukami,et al. 20-nm magnetic domain wall motion memory with ultralow-power operation , 2013, 2013 IEEE International Electron Devices Meeting.
[22] S. Fukami,et al. Low-current domain wall motion MRAM with perpendicularly magnetized CoFeB/MgO magnetic tunnel junction and underlying hard magnets , 2013, 2013 Symposium on VLSI Technology.
[23] K. Roy,et al. Numerical analysis of domain wall propagation for dense memory arrays , 2011, 2011 International Electron Devices Meeting.
[24] H. Tanigawa,et al. Reduction of Threshold Current Density for Current-Driven Domain Wall Motion using Shape Control , 2005, cond-mat/0510619.
[25] T. Endoh,et al. A content addressable memory using magnetic domain wall motion cells , 2011, 2011 Symposium on VLSI Circuits - Digest of Technical Papers.
[26] David Blaauw,et al. A Reconfigurable Sense Amplifier with Auto-Zero Calibration and Pre-Amplification in 28 nm CMOS , 2018 .
[27] W. Black,et al. Time interleaved converter arrays , 1980, 1980 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.
[28] Shunsuke Fukami,et al. Control of Multiple Magnetic Domain Walls by Current in a Co/Ni Nano-Wire , 2010 .
[29] S. Fukami,et al. Low-current perpendicular domain wall motion cell for scalable high-speed MRAM , 2006, 2009 Symposium on VLSI Technology.
[30] Kaushik Roy,et al. Low power and compact mixed-mode signal processing hardware using spin-neurons , 2013, International Symposium on Quality Electronic Design (ISQED).
[31] Kaushik Roy,et al. Ultra low power associative computing with spin neurons and resistive crossbar memory , 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).
[32] S. Fukami,et al. High-speed and reliable domain wall motion device: Material design for embedded memory and logic application , 2012, 2012 Symposium on VLSI Technology (VLSIT).
[33] Roger D. Chamberlain,et al. Noise analysis of a current-mode read circuit for sensing magnetic tunnel junction resistance , 2011, 2011 IEEE International Symposium of Circuits and Systems (ISCAS).
[34] Kuei-Hung Shen,et al. Racetrack Memory: A high-performance, low-cost, non-volatile memory based on magnetic domain walls , 2011, 2011 International Electron Devices Meeting.
[35] Gunhee Han,et al. A High-Speed CMOS Image Sensor With Column-Parallel Two-Step Single-Slope ADCs , 2009 .
[36] Yiran Chen,et al. STT-RAM cell design optimization for persistent and non-persistent error rate reduction: A statistical design view , 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).