Deadlock Free Routing inMesh Networks on Chip with Regions
暂无分享,去创建一个
[1] Shamsul I. Chowdhury,et al. Statistical expert systems : a special application area for knowledge-based computer methodology , 1987 .
[2] Ge-Ming Chiu,et al. The Odd-Even Turn Model for Adaptive Routing , 2000, IEEE Trans. Parallel Distributed Syst..
[3] M. Birnbaum,et al. How VSIA Answers the SOC Dilemma , 1999, Computer.
[4] William J. Dally,et al. Route packets, not wires: on-chip inteconnection networks , 2001, DAC '01.
[5] Anoop Gupta,et al. Parallel computer architecture - a hardware / software approach , 1998 .
[6] R. H. Havemann,et al. High-performance interconnects: an integration overview , 2001, Proc. IEEE.
[7] Alexander Siemers. Visualization of dynamic multibody simulation : with special reference to contacts , 2003 .
[8] Partha Pratim Pande,et al. Design of a switch for network on chip applications , 2003, Proceedings of the 2003 International Symposium on Circuits and Systems, 2003. ISCAS '03..
[9] Jie Wu,et al. A Fault-Tolerant and Deadlock-Free Routing Protocol in 2D Meshes Based on Odd-Even Turn Model , 2003, IEEE Trans. Computers.
[10] Pierre Fraigniaud,et al. A General Theory for Deadlock Avoidance in Wormhole-Routed Networks , 1998, IEEE Trans. Parallel Distributed Syst..
[11] B. Johansson. Deciding on Using Application Service Provision in SMEs , 2004 .
[12] Krishnan Srinivasan,et al. Linear programming based techniques for synthesis of network-on-chip architectures , 2006, IEEE International Conference on Computer Design: VLSI in Computers and Processors, 2004. ICCD 2004. Proceedings..
[13] Arnab Banerjee,et al. A Power and Energy Exploration of Network-on-Chip Architectures , 2007, First International Symposium on Networks-on-Chip (NOCS'07).
[14] Daniel Andreasson. Slack-Time Aware Dynamic Routing Schemes for on-chip networks , 2007 .
[15] Peter Carlsson. Separation av företagsledning och finansiering : fallstudier av företagsledarutköp ur ett agentteoretiskt perspektiv ... , 1994 .
[16] Vincenzo Catania,et al. Design of Bandwidth Aware and Congestion Avoiding Efficient Routing Algorithms for Networks-on-Chip Platforms , 2008 .
[17] Kristina Larsen. Förutsättningar och begränsningar för arbete på distans : erfarenheter från fyra svenska företag , 1996 .
[18] Juha Takkinen,et al. CAFE: Towards a Conceptual Model for Information Management in Electronic Mail , 1997 .
[19] Anant Agarwal,et al. Limits on Interconnection Network Performance , 1991, IEEE Trans. Parallel Distributed Syst..
[20] Krishnan Srinivasan,et al. A Low Complexity Heuristic for Design of Custom Network-on-Chip Architectures , 2006, Proceedings of the Design Automation & Test in Europe Conference.
[21] Jan M. Rabaey,et al. Yield optimization with energy-delay constraints in low-power digital circuits , 2003, 2003 IEEE Conference on Electron Devices and Solid-State Circuits (IEEE Cat. No.03TH8668).
[22] Lionel M. Ni,et al. A survey of wormhole routing techniques in direct networks , 1993, Computer.
[23] Axel Jantsch,et al. Evaluating NoC communication backbones with simulation , 2003 .
[24] Shashi Kumar,et al. Corrections to Chen and Chiu's Fault Tolerant Routing Algorithm for Mesh Networks , 2007, J. Inf. Sci. Eng..
[25] Alain Greiner,et al. A generic architecture for on-chip packet-switched interconnections , 2000, DATE '00.
[26] MICHAEL RIORDAN,et al. The lost history of the transistor , 2004, IEEE Spectrum.
[27] Niklas Sandell. Redovisning i skuggan av en bankkris : Värdering av fastigheter , 2001 .
[28] Dan Lawesson. Towards Behavioral Model Fault Isolation for Object Oriented Control Systems , 2001 .
[29] Adrian Pop,et al. Contributions to Meta-Modeling Tools and Methods , 2005 .
[30] Sujit Dey,et al. System-level performance analysis for designing on-chipcommunication architectures , 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[31] Petru Eles,et al. Schedulability Analysis of Real-Time Systems with Stochastic Task Execution Times , 2002 .
[32] J.S. Kilby. The integrated circuit's early history , 2000, Proceedings of the IEEE.
[33] Keith D. Underwood,et al. Initial performance evaluation of the Cray SeaStar interconnect , 2005, 13th Symposium on High Performance Interconnects (HOTI'05).
[34] R. Engelbrecht,et al. DIGEST of TECHNICAL PAPERS , 1959 .
[35] Jonas S. Karlsson. Towards a strategy for software requirements selection , 1995 .
[36] Manfred Glesner,et al. A hierarchical generic approach for on-chip communication, testing and debugging of SoCs , 2003, VLSI-SOC.
[37] Shashi Kumar,et al. A Method for Router Table Compression for Application Specific Routing in Mesh Topology NoC Architectures , 2006, SAMOS.
[38] Loren Schwiebert,et al. Optimal fully adaptive wormhole routing for meshes , 1993, Supercomputing '93. Proceedings.
[39] Charlotte Björkegren,et al. Learning for the next project : bearers and barriers in knowledge transfer within an organisation , 1999 .
[40] Shashi Kumar,et al. Slack-time aware routing in NoC systems , 2005, 2005 IEEE International Symposium on Circuits and Systems.
[41] Ran Ginosar,et al. QNoC: QoS architecture and design process for network on chip , 2004, J. Syst. Archit..
[42] Vincenzo Catania,et al. Efficient Application Specific Routing Algorithms for NoC Systems utilizing Partially Faulty Links , 2008, 2008 11th EUROMICRO Conference on Digital System Design Architectures, Methods and Tools.
[43] Sin-Chong Park,et al. Case Study : NoC based Next-generation WLAN receiver design in Transaction Level , 2006, 2006 8th International Conference Advanced Communication Technology.
[44] Axel Jantsch,et al. Guaranteed bandwidth using looped containers in temporally disjoint networks within the nostrum network on chip , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.
[45] M. Gail Jones,et al. It's a Small World After All. , 2005 .
[46] R. G. Arns,et al. The other transistor: early history of the metal-oxide semiconductor field-effect transistor , 1998 .
[47] Daewook Kim,et al. CDMA-based network-on-chip architecture , 2004, The 2004 IEEE Asia-Pacific Conference on Circuits and Systems, 2004. Proceedings..
[48] Pedro López,et al. Region-Based Routing: An Efficient Routing Mechanism to Tackle Unreliable Hardware in Network on Chips , 2007, First International Symposium on Networks-on-Chip (NOCS'07).
[49] Eva L. Ragnemalm. Towards student modelling through collaborative dialogue with a learning companion , 1995 .
[50] Christer Hansson. A prototype system for logical reasoning about time and action , 1990 .
[51] Björn Johansson,et al. Feedforward Control in Dynamic Situations , 2003 .
[52] D. Manivannan,et al. A foundation for designing deadlock-free routing algorithms in wormhole networks , 1996, Proceedings of SPDP '96: 8th IEEE Symposium on Parallel and Distributed Processing.
[53] Shashi Kumar,et al. Deadlock free routing algorithms for irregular mesh topology NoC systems with rectangular regions , 2008, J. Syst. Archit..
[54] Chita R. Das,et al. Virtual channel multiplexing in networks of workstations with irregular topology , 1998, Proceedings. Fifth International Conference on High Performance Computing (Cat. No. 98EX238).
[55] Pär J. Ågerfalk,et al. Pragmatization of Information Systems - A Theoretical and Methodological Outline , 1999 .
[56] K. Keutzer,et al. System-level design: orthogonalization of concerns andplatform-based design , 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[57] Prasant Mohapatra,et al. Wormhole routing techniques for directly connected multicomputer systems , 1998, CSUR.
[58] Paul Pop,et al. Scheduling and Communication Synthesis for Distributed Real-Time Systems , 2000, DAC 2000.
[59] Luca Benini,et al. Network-on-chip architectures and design methods , 2005 .
[60] Joakim Eriksson. Specifying and Managing Rules in an Active Real-Time Database System , 1998 .
[61] Axel Jantsch,et al. A network on chip architecture and design methodology , 2002, Proceedings IEEE Computer Society Annual Symposium on VLSI. New Paradigms for VLSI Systems Design. ISVLSI 2002.
[62] Magnus Lindahl. Bankens villkor i låneavtal vid kreditgivning till högt belånade företagsförvärv : en studie ur ett agentteoretiskt perspektiv , 2000 .
[63] Sujit Dey,et al. Using a Soft Core in a SoC Design: Experiences with picoJava , 2000, IEEE Des. Test Comput..
[64] Luca Benini,et al. NoC synthesis flow for customized domain specific multiprocessor systems-on-chip , 2005, IEEE Transactions on Parallel and Distributed Systems.
[65] Chita R. Das,et al. LAPSES: a recipe for high performance adaptive router design , 1999, Proceedings Fifth International Symposium on High-Performance Computer Architecture.
[66] Antonio Robles,et al. An effective methodology to improve the performance of the up*/down* routing algorithm , 2004, IEEE Transactions on Parallel and Distributed Systems.
[67] Axel Jantsch,et al. Simulation and Evaluation of a Network on Chip Architecture Using Ns-2 , 2002 .
[68] Jonas S. Karlsson. A Scalable Data Structure for A Parallel Data Server , 1997 .
[69] Elisa Bertino,et al. PARALLEL AND DISTRIBUTED SYSTEMS , 2010 .
[70] Per-Arne Persson. Toward a grounded theory for support of command and control in military coalitions , 1997 .
[71] Hong Liu,et al. An efficient processor partitioning and thread mapping strategy for mesh-connected multiprocessor systems , 1997, SAC '97.
[72] K. Pettersson. Informationssystemstrukturering, ansvarsfördelning och användarinflytande : en komparativ studie med utgångspunkt i två informationssystemstrategier , 1994 .
[73] W. Dally,et al. Route packets, not wires: on-chip interconnection networks , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).
[74] Almut Herzog,et al. Secure execution environment for Java electronic services , 2002 .
[75] Suresh Chalasani,et al. Fault-Tolerant Wormhole Routing Algorithms for Mesh Networks , 1995, IEEE Trans. Computers.
[76] Vincenzo Catania,et al. A methodology for design of application specific deadlock-free routing algorithms for NoC systems , 2006, Proceedings of the 4th International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS '06).
[77] Petru Eles,et al. Buffer Space Optimisation with Communication Synthesis and Traffic Shaping for NoCs , 2006, Proceedings of the Design Automation & Test in Europe Conference.
[78] Luca Benini,et al. A multi-path routing strategy with guaranteed in-order packet delivery and fault-tolerance for networks on chip , 2006, 2006 43rd ACM/IEEE Design Automation Conference.
[79] G.E. Moore,et al. Cramming More Components Onto Integrated Circuits , 1998, Proceedings of the IEEE.
[80] Kang G. Shin,et al. Adaptive Fault-Tolerant Deadlock-Free Routing in Meshes and Hypercubes , 1996, IEEE Trans. Computers.
[81] Jonas Elmqvist,et al. Components, Safety Interfaces, and Compositional Analysis , 2007 .
[82] Vincenzo Catania,et al. Neighbors-on-Path: A New Selection Strategy for On-Chip Networks , 2006, 2006 IEEE/ACM/IFIP Workshop on Embedded Systems for Real Time Multimedia.
[83] Lionel M. Ni,et al. Fault-tolerant routing in hypercube multicomputers using local safety information , 1996 .
[84] Radu Marculescu,et al. Analytical router modeling for networks-on-chip performance analysis , 2007 .
[85] Nikil D. Dutt,et al. Fast exploration of bus-based communication architectures at the CCATB abstraction , 2008, TECS.
[86] Sudhakar Yalamanchili,et al. Interconnection Networks: An Engineering Approach , 2002 .
[87] Gordon E. Moore,et al. Progress in digital integrated electronics , 1975 .
[88] Lionel M. Ni,et al. Fault-tolerant wormhole routing in meshes without virtual channels , 1996, IEEE Transactions on Parallel and Distributed Systems.
[89] S. Debowski. Knowledge Management , 2005 .
[90] Nobu Matsumoto,et al. A single-chip MPEG-2 codec based on customizable media embedded processor , 2003 .
[91] Mikael Lind. Affärsprocessinriktad förändringsanalys : utveckling och tillämpning av synsätt och metod , 1996 .
[92] Henrik Svensson,et al. Embodied simulation as off-line representation , 2007 .
[93] Radu Marculescu,et al. DyAD - smart routing for networks-on-chip , 2004, Proceedings. 41st Design Automation Conference, 2004..
[94] Abhijit Chatterjee,et al. Statistical estimation of correlated leakage power variation and its application to leakage-aware design , 2006, 19th International Conference on VLSI Design held jointly with 5th International Conference on Embedded Systems Design (VLSID'06).
[95] Hideharu Amano,et al. L-turn routing: an adaptive routing in irregular networks , 2001, International Conference on Parallel Processing, 2001..
[96] Anders Larsson,et al. System-on-Chip Test Scheduling and Test Infrastructure Design , 2005 .
[97] Jörg Henkel,et al. On-chip networks: a scalable, communication-centric embedded system design paradigm , 2004, 17th International Conference on VLSI Design. Proceedings..
[98] Stefan Cronholm. Varför CASE-verktyg i systemutveckling? : en motiv- och konsekvensstudie avseende arbetssätt och arbetsformer , 1994 .
[99] Nick McKeown,et al. The iSLIP scheduling algorithm for input-queued switches , 1999, TNET.
[100] Martin Magnusson,et al. Deductive Planning and Composite Actions in Temporal Action Logic , 2007 .
[101] Kees Goossens,et al. Chapter 15 INTERCONNECT AND MEMORY ORGANIZATION IN SOCS FOR ADVANCED SET-TOP BOXES AND TV Evolution, Analysis, and Trends , 2005 .
[102] Luca Benini,et al. Analyzing on-chip communication in a MPSoC environment , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.
[103] Jari Nurmi,et al. Interconnect IP node for future system-on-chip designs , 2002, Proceedings First IEEE International Workshop on Electronic Design, Test and Applications '2002.
[104] S. Kumar,et al. Design issues and performance evaluation of mesh NoC with regions , 2005, 2005 NORCHIP.
[105] Malin Bergvall. Systemförvaltning i Praktiken : en kvalitativ studie avseende centrala begrepp, aktiviteter och ansvarsroller , 1995 .
[106] Lionel M. Ni,et al. The turn model for adaptive routing , 1992, ISCA '92.
[107] Lynn Conway,et al. Introduction to VLSI systems , 1978 .
[108] Axel Jantsch,et al. Network on Chip : An architecture for billion transistor era , 2000 .
[109] José Duato,et al. A New Theory of Deadlock-Free Adaptive Routing in Wormhole Networks , 1993, IEEE Trans. Parallel Distributed Syst..
[110] Ling Lin,et al. A Value-Based Indexing Technique for Time Sequences , 1997 .
[111] Mark J. Karol,et al. Queueing in high-performance packet switching , 1988, IEEE J. Sel. Areas Commun..
[112] Jan M. Rabaey. System-on-Chip-Challenges in the Deep-Sub-Micron Era , 2005 .
[113] Han-Hsuan Lin. Secure and scalable E-service software delivery , 2001 .
[114] Naveed A. Sherwani,et al. Physical design tradeoffs for ASIC technologies , 1993, Sixth Annual IEEE International ASIC Conference and Exhibit.
[115] Vincenzo Catania,et al. Exploiting Communication Concurrency for Efficient Deadlock Free Routing in Reconfigurable NoC Platforms , 2007, 2007 IEEE International Parallel and Distributed Processing Symposium.
[116] Radu Marculescu,et al. "It's a small world after all": NoC performance optimization via long-range link insertion , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[117] Sudhakar Yalamanchili,et al. Adaptive routing protocols for hypercube interconnection networks , 1993, Computer.
[118] Maurizio Palesi,et al. Deadlock Free Routing Algorithms for Mesh Topology NoC Systems with Regions , 2006, 9th EUROMICRO Conference on Digital System Design (DSD'06).
[119] I. Chisalita. Safety-oriented communication in mobile networks for vehicles , 2004 .
[120] Vincenzo Catania,et al. Application Specific Routing Algorithms for Networks on Chip , 2009, IEEE Transactions on Parallel and Distributed Systems.
[121] Jörg Henkel,et al. Closing the SoC Design Gap , 2003, Computer.
[122] Nick Tredennick. Microprocessor-Based Computers , 1996, Computer.
[123] Anders Bäckström,et al. Värdeskapande kreditgivning : kreditriskhantering ur ett agentteoretiskt perspektiv , 1998 .
[124] Kaustav Banerjee,et al. Interconnect limits on gigascale integration (GSI) in the 21st century , 2001, Proc. IEEE.
[125] Nicklas Bergfeldt. Towards detached communication for robot cooperation , 2005 .
[126] Vincenzo Catania,et al. Multi-objective mapping for mesh-based NoC architectures , 2004, International Conference on Hardware/Software Codesign and System Synthesis, 2004. CODES + ISSS 2004..
[127] Matthias Gries,et al. Methods for evaluating and covering the design space during early design development , 2004, Integr..
[128] Radu Marculescu,et al. Energy- and performance-aware mapping for regular NoC architectures , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[129] William J. Dally,et al. Globally Adaptive Load-Balanced Routing on Tori , 2004, IEEE Computer Architecture Letters.
[130] Anders Hjalmarsson. Att etablera och vidmakthålla förbättringsverksamhet Behovet av koordination och interaktion vid förändring av systemutvecklingsverksamheter , 2004 .
[131] Andreas Borg. Contributions to management and validation of non-functional requirements , 2004 .
[132] Owen Eriksson. Informationssystem med verksamhetskvalitet : utvärdering baserat på ett verksamhetsinriktat och samskapande perspektiv , 1994 .
[133] Johan Petersson. Lokala elektroniska marknadsplatser : informationssystem för platsbundna affärer , 2002 .
[134] Peter Bunus,et al. Debugging and Structural Analysis of Declarative Equation-Based Languages , 2002 .
[135] Axel Jantsch,et al. Interconnect-Centric Design for Advanced SOC and NOC , 2010 .
[136] Ge-Ming Chiu,et al. Fault-Tolerant Routing Algorithm for Meshes without Using Virtual Channels , 1998, J. Inf. Sci. Eng..
[137] R. Ludwig,et al. Crossroads for mixed-signal chips , 2002 .
[138] Timothy Mark Pinkston,et al. DISHA: a deadlock recovery scheme for fully adaptive routing , 1995, Proceedings of 9th International Parallel Processing Symposium.
[139] William J. Dally,et al. Deadlock-Free Message Routing in Multiprocessor Interconnection Networks , 1987, IEEE Transactions on Computers.
[140] Luis-Alejandro Cortes,et al. A Petri Net based Modeling and Verification Technique for Real-Time Embedded Systems , 2001 .
[141] José Duato,et al. Segment-based routing: an efficient fault-tolerant routing algorithm for meshes and tori , 2006, Proceedings 20th IEEE International Parallel & Distributed Processing Symposium.
[142] Alberto L. Sangiovanni-Vincentelli,et al. Embedding Mixed-Signal Design in Systems-on-Chip , 2006, Proceedings of the IEEE.
[143] Jipeng Zhou,et al. Fault-tolerant wormhole routing in 2D meshes , 2000, Proceedings International Symposium on Parallel Architectures, Algorithms and Networks. I-SPAN 2000.
[144] William J. Dally,et al. Deadlock-Free Adaptive Routing in Multicomputer Networks Using Virtual Channels , 1993, IEEE Trans. Parallel Distributed Syst..
[145] Norbert Wehn,et al. Designing efficient irregular networks for heterogeneous systems-on-chip , 2008, J. Syst. Archit..
[146] Vincent John Mooney,et al. A comparison of five different multiprocessor SoC bus architectures , 2001, Proceedings Euromicro Symposium on Digital Systems Design.
[147] Alberto L. Sangiovanni-Vincentelli,et al. System-level design: orthogonalization of concerns andplatform-based design , 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[148] Anna Moberg. Satellitkontor : en studie av kommunikationsmönster vid arbete på distans , 1993 .
[149] Kihong Park,et al. On the relationship between file sizes, transport protocols, and self-similar network traffic , 1996, Proceedings of 1996 International Conference on Network Protocols (ICNP-96).
[150] G. Conte,et al. Navigation Functionalities for an Autonomous UAV Helicopter , 2007 .
[151] Michael Burrows,et al. Autonet: A High-Speed, Self-Configuring Local Area Network Using Point-to-Point Links , 1991, IEEE J. Sel. Areas Commun..
[152] Wenhua Dou,et al. Analysis of communication delay bounds for network on chips , 2009, 2009 Asia and South Pacific Design Automation Conference.
[153] Bengt E. W. Andersson. Samverkande informationssystem mellan aktörer i offentliga åtaganden : en teori om aktörsarenor i samverkan om utbyte av information , 1998 .
[154] Joakim Gustafsson,et al. Extending temporal action logic , 2001 .
[155] Ran Ginosar,et al. Automatic hardware-efficient SoC integration by QoS network on chip , 2004, Proceedings of the 2004 11th IEEE International Conference on Electronics, Circuits and Systems, 2004. ICECS 2004..
[156] Torbjörn Näslund. SLDFA-resolution : computing answers for negative queries , 1990 .
[157] Kayhan Kucukcakar. Analysis of emerging core-based design lifecycle , 1998, ICCAD 1998.
[158] K. Banerjee,et al. A global interconnect optimization scheme for nanometer scale VLSI with implications for latency, bandwidth, and power dissipation , 2004, IEEE Transactions on Electron Devices.
[159] Yici Cai,et al. A global interconnect optimization algorithm under accurate delay model using solution space smoothing , 2005, 2005 IEEE International Symposium on Circuits and Systems.
[160] Chin-Tau Lea,et al. Speedup and buffer division in input/output queueing ATM switches , 1999, Seamless Interconnection for Universal Services. Global Telecommunications Conference. GLOBECOM'99. (Cat. No.99CH37042).
[161] Kurt Keutzer,et al. Getting to the bottom of deep submicron , 1998, ICCAD '98.
[162] L. Collins. Chip makers hit heat barrier , 2003 .
[163] Peter D. Holmes. Using connectivity graphs to support map-related reasoning , 1991 .
[164] Henrik Nilsson,et al. A Declarative Approach to Debugging for Lazy Functional Languages , 1994 .
[165] Peter Jonsson. Complexity of state-variable planning under structural restrictions , 1995 .