Throughput-constrained voltage and frequency scaling for real-time heterogeneous multiprocessors

Voltage and Frequency Scaling (VFS) can effectively reduce energy consumption at system level. Most work in this field has focused on deadline-constrained applications with finite schedule lengths. However, in typical real-time streaming, processing is repeatedly activated by indefinitely long data streams and operations on successive data instances are overlapped to achieve a tight throughput. A particular application domain where such characteristics co-exist with stringent energy consumption constraints is baseband processing. Such behavior requires new VFS scheduling policies. This paper addresses throughput-constrained VFS problems for real-time streaming with discrete frequency levels on a heterogeneous multiprocessor. We propose scaling algorithms for two platform types: with dedicated VFS switches per processor, and with a single, global VFS switch. We formulate Local VFS using Mixed Integer Linear Programming (MILP). For the global variant, we propose a 3-stage heuristic incorporating MILP. Experiments on our modem benchmarks show that the discrete local VFS algorithm achieves energy savings close to its continuous counterpart, and local VFS is more effective than global VFS. As an example, for a WLAN receiver, running on a modem realized as a heterogeneous multiprocessor, the continuous local VFS algorithm reduces energy consumption by 29%, while the discrete local and global algorithms reduce energy by 28% and 16%, respectively, when compared to a on/off energy saving policy.

[1]  G. Bauch A comparison of soft-in/soft-out algorithms for 'turbo-detection' , 1998 .

[2]  E. Gol′šteĭn,et al.  Theory of Convex Programming , 1972 .

[3]  Yifan Zhu,et al.  Feedback EDF scheduling exploiting hardware-assisted asynchronous dynamic voltage scaling , 2005, LCTES '05.

[4]  Rainer Schoenen,et al.  On retiming of multirate DSP algorithms , 1996, 1996 IEEE International Conference on Acoustics, Speech, and Signal Processing Conference Proceedings.

[5]  Eric Rotenberg,et al.  FAST: Frequency-aware static timing analysis , 2006, TECS.

[6]  Aggelos K. Katsaggelos,et al.  Signal Processing and Communications , 2001 .

[7]  Edward A. Lee,et al.  Scheduling strategies for multiprocessor real-time DSP , 1989, IEEE Global Telecommunications Conference, 1989, and Exhibition. 'Communications Technology for the 1990s and Beyond.

[8]  Gang Quan,et al.  A realistic variable voltage scheduling model for real-time applications , 2002, ICCAD 2002.

[9]  Edward A. Lee,et al.  Synthesis of Embedded Software from Synchronous Dataflow Specifications , 1999, J. VLSI Signal Process..

[10]  Petru Eles,et al.  Overhead-conscious voltage selection for dynamic and leakage energy reduction of time-constrained systems , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

[11]  Krzysztof Kuchcinski,et al.  LEneS: task scheduling for low-energy systems using variable supply voltage processors , 2001, ASP-DAC '01.

[12]  Anantha P. Chandrakasan,et al.  Low Power Digital CMOS Design , 1995 .

[13]  Rami G. Melhem,et al.  Dynamic and aggressive scheduling techniques for power-aware real-time systems , 2001, Proceedings 22nd IEEE Real-Time Systems Symposium (RTSS 2001) (Cat. No.01PR1420).

[14]  Niraj K. Jha,et al.  Combined Dynamic Voltage Scaling and Adaptive Body Biasing for Heterogeneous Distributed Real-time Embedded Systems , 2003, ICCAD 2003.

[15]  Trevor Mudge,et al.  Combined dynamic voltage scaling and adaptive body biasing for lower power microprocessors under dynamic workloads , 2002, ICCAD 2002.

[16]  丸山 徹 Convex Analysisの二,三の進展について , 1977 .

[17]  Orlando Moreira,et al.  Scheduling multiple independent hard-real-time jobs on a heterogeneous multiprocessor , 2007, EMSOFT '07.

[18]  Yurii Nesterov,et al.  Interior-point polynomial algorithms in convex programming , 1994, Siam studies in applied mathematics.

[19]  Xiaobo Sharon Hu,et al.  Energy minimization of real-time tasks on variable voltage processors with transition energy overhead , 2003, ASP-DAC '03.

[20]  Yong He,et al.  Single-machine scheduling with trade-off between number of tardy jobs and compression cost , 2007 .

[21]  Sander Stuijk,et al.  Dataflow Analysis for Real-Time Embedded Multiprocessor System Design , 2005 .

[22]  Meng Wang,et al.  Overhead-Aware System-Level Joint Energy and Performance Optimization for Streaming Applications on Multiprocessor Systems-on-Chip , 2008, 2008 Euromicro Conference on Real-Time Systems.

[23]  Kees Moerman,et al.  Vector Processing as an Enabler for Software-Defined Radio in Handheld Devices , 2005, EURASIP J. Adv. Signal Process..

[24]  Kees G. W. Goossens,et al.  The aethereal network on chip after ten years: Goals, evolution, lessons, and future , 2010, Design Automation Conference.

[25]  Sander Stuijk,et al.  Power Minimisation for Real-Time Dataflow Applications , 2011, 2011 14th Euromicro Conference on Digital System Design.

[26]  Rami G. Melhem,et al.  Energy-Aware Scheduling for Streaming Applications on Chip Multiprocessors , 2007, 28th IEEE International Real-Time Systems Symposium (RTSS 2007).

[27]  Hui Liu,et al.  Overhead-aware energy optimization for real-time streaming applications on multiprocessor System-on-Chip , 2011, TODE.

[28]  Mohamed Ali Aloulou,et al.  Evaluating flexible solutions in single machine scheduling via objective function maximization: the study of computational complexity , 2007, RAIRO Oper. Res..

[29]  Hyunseok Lee A baseband processor for software defined radio terminals , 2007 .

[30]  Kaushik Roy,et al.  Dynamic VTH Scaling Scheme for Active Leakage Power Reduction , 2002, DATE.

[31]  G.J.M. Smit,et al.  Impact of power-management granularity on the energy-quality trade-off for soft and hard real-time applications , 2008, 2008 International Symposium on System-on-Chip.

[32]  Dake Liu,et al.  Multimode Flex-Interleaver Core for Baseband Processor Platform , 2010, J. Comput. Networks Commun..

[33]  Kaushik Roy,et al.  Dynamic V/sub TH/ scaling scheme for active leakage power reduction , 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

[34]  Shekhar Y. Borkar,et al.  Design challenges of technology scaling , 1999, IEEE Micro.

[35]  Hiroto Yasuura,et al.  Voltage scheduling problem for dynamically variable voltage processors , 1998, Proceedings. 1998 International Symposium on Low Power Electronics and Design (IEEE Cat. No.98TH8379).

[36]  Taewhan Kim,et al.  Optimal voltage allocation techniques for dynamically variable voltage processors , 2003, DAC '03.

[37]  Edward A. Lee,et al.  Synchronous Data Flow: Describing Signal Processing Algorithm for Parallel Computation , 1987, COMPCON.

[38]  Raymond Reiter,et al.  Scheduling Parallel Computations , 1968, J. ACM.

[39]  Xiaobo Sharon Hu,et al.  Task scheduling and voltage selection for energy minimization , 2002, DAC '02.

[40]  Miodrag Potkonjak,et al.  Synthesis techniques for low-power hard real-time systems on variable voltage processors , 1998, Proceedings 19th IEEE Real-Time Systems Symposium (Cat. No.98CB36279).

[41]  F. Frances Yao,et al.  A scheduling model for reduced CPU energy , 1995, Proceedings of IEEE 36th Annual Foundations of Computer Science.

[42]  Marco J. G. Bekooij,et al.  Latency-Rate servers & Dataflow models , 2006 .

[43]  Sander Stuijk,et al.  Buffer Sizing for Rate-Optimal Single-Rate Data-Flow Scheduling Revisited , 2010, IEEE Transactions on Computers.

[44]  Kees G. W. Goossens,et al.  C-HEAP: A Heterogeneous Multi-Processor Architecture Template and Scalable and Flexible Protocol for the Design of Embedded Signal Processing Systems , 2002, Des. Autom. Embed. Syst..

[45]  Bruno Bougard,et al.  Low-power design of turbo decoder with exploration of energy-throughput trade-off , 2003 .

[46]  Petru Eles,et al.  Quasi-static voltage scaling for energy minimization with time constraints , 2005, Design, Automation and Test in Europe.

[47]  Keshab K. Parhi,et al.  Static Rate-Optimal Scheduling of Iterative Data-Flow Programs via Optimum Unfolding , 1991, IEEE Trans. Computers.

[48]  Shuvra S. Bhattacharyya,et al.  Embedded Multiprocessors: Scheduling and Synchronization , 2000 .