CNFET-Based High Throughput SIMD Architecture
暂无分享,去创建一个
[1] David M. Brooks,et al. Mitigating the Impact of Process Variations on Processor Register Files and Execution Units , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).
[2] Nishant Patil,et al. Carbon Nanotube circuits in the presence of carbon nanotube density variations , 2009, 2009 46th ACM/IEEE Design Automation Conference.
[3] Zhe Zhang,et al. Carbon Nanotube SRAM Design With Metallic CNT or Removed Metallic CNT Tolerant Approaches , 2012, IEEE Transactions on Nanotechnology.
[4] H. Dai,et al. Selective Etching of Metallic Carbon Nanotubes by Gas-Phase Reaction , 2006, Science.
[5] David Blaauw,et al. Statistical timing analysis for intra-die process variations with spatial correlations , 2003, ICCAD-2003. International Conference on Computer Aided Design (IEEE Cat. No.03CH37486).
[6] Henry Wong,et al. Analyzing CUDA workloads using a detailed GPU simulator , 2009, 2009 IEEE International Symposium on Performance Analysis of Systems and Software.
[7] C. Kim,et al. Solution-processed carbon nanotube thin-film complementary static random access memory. , 2015, Nature nanotechnology.
[8] Yan-Ting Lai,et al. SRAM delay fault modeling and test algorithm development , 2004 .
[9] Hai Wei,et al. VMR: VLSI-compatible metallic carbon nanotube removal for imperfection-immune cascaded multi-stage digital logic circuits using Carbon Nanotube FETs , 2009, 2009 IEEE International Electron Devices Meeting (IEDM).
[10] M. D. Giles,et al. The ultimate CMOS device and beyond , 2012, 2012 International Electron Devices Meeting.
[11] James D. Meindl,et al. Impact of die-to-die and within-die parameter fluctuations on the maximum clock frequency distribution for gigascale integration , 2002, IEEE J. Solid State Circuits.
[12] P. Zarkesh-Ha,et al. Stochastic Analysis and Design Guidelines for CNFETs in Gigascale Integrated Systems , 2011, IEEE Transactions on Electron Devices.
[13] Jie Zhang,et al. Overcoming carbon nanotube variations through co-optimized technology and circuit design , 2011, 2011 International Electron Devices Meeting.
[14] Hai Wei,et al. Rapid exploration of processing and design guidelines to overcome carbon nanotube variations , 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).
[15] Payman Zarkesh-Ha,et al. Comparison of variations in MOSFET versus CNFET in gigascale integrated systems , 2012, Thirteenth International Symposium on Quality Electronic Design (ISQED).
[16] H.-S. Philip Wong,et al. Characterization and Design of Logic Circuits in the Presence of Carbon Nanotube Density Variations , 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[17] Qiang Xu,et al. Jump test for metallic CNTs in CNFET-based SRAM , 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).
[18] Hai Wei,et al. ACCNT: A Metallic-CNT-Tolerant Design Methodology for Carbon Nanotube VLSI: Analyses and Design Guidelines , 2010, IEEE Transactions on Electron Devices.
[19] Qiang Xu,et al. A Novel Test Method for Metallic CNTs in CNFET-Based SRAMs , 2016, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[20] Giovanni De Micheli,et al. System Level Benchmarking with Yield-Enhanced Standard Cell Library for Carbon Nanotube VLSI Circuits , 2014, JETC.
[21] Fabrizio Lombardi,et al. Circuit-Level Modeling and Detection of Metallic Carbon Nanotube Defects in Carbon Nanotube FETs , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.
[22] Mark G. Karpovsky,et al. Influence of metallic tubes on the reliability of CNTFET SRAMs: error mechanisms and countermeasures , 2011, GLSVLSI '11.
[23] Giovanni De Micheli,et al. Carbon nanotube correlation: Promising opportunity for CNFET circuit yield enhancement , 2010, Design Automation Conference.
[24] Hao Chen,et al. On microarchitectural modeling for CNFET-based circuits , 2015, 2015 28th IEEE International System-on-Chip Conference (SOCC).
[25] H.-S. Philip Wong,et al. Carbon nanotube computer , 2013, Nature.
[26] Mark S. Lundstrom,et al. Sub-10 nm carbon nanotube transistor , 2011, 2011 International Electron Devices Meeting.
[27] Hai Wei,et al. ACCNT—A Metallic-CNT-Tolerant Design Methodology for Carbon-Nanotube VLSI: Concepts and Experimental Demonstration , 2009, IEEE Transactions on Electron Devices.
[28] H.-S. Philip Wong,et al. Carbon Nanotube Transistor Circuits: Circuit-Level Performance Benchmarking and Design Options for Living with Imperfections , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.
[29] H.-S. Philip Wong,et al. Design Methods for Misaligned and Mispositioned Carbon-Nanotube Immune Circuits , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[30] H.-S. Philip Wong,et al. Digital VLSI logic technology using Carbon Nanotube FETs: Frequently Asked Questions , 2009, 2009 46th ACM/IEEE Design Automation Conference.
[31] Hao Wang,et al. Improving Throughput of Power-Constrained Many-Core Processors Based on Unreliable Devices , 2013, IEEE Micro.
[32] Minyi Guo,et al. An energy-efficient and scalable eDRAM-based register file architecture for GPGPU , 2013, ISCA.
[33] Sandeep K. Gupta,et al. Optimal Redundancy Designs for CNFET-Based Circuits , 2014, 2014 IEEE 23rd Asian Test Symposium.
[34] Hai Wei,et al. Imperfection-immune VLSI logic circuits using Carbon Nanotube Field Effect Transistors , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.