A sparse VLIW instruction encoding scheme compatible with generic binaries
暂无分享,去创建一个
Stephan Wong | Antonio Carlos Schneider Beck | Anderson Luiz Sartor | Jeroen van Straten | Arthur Francisco Lorenzon | Joost Hoozemans | Anthony Brandon
[1] Ulrich Rückert,et al. CoreVA: A Configurable Resource-Efficient VLIW Processor Architecture , 2014, 2014 12th IEEE International Conference on Embedded and Ubiquitous Computing.
[2] Sanjay Ranka,et al. Dynamic cache reconfiguration and partitioning for energy optimization in real-time multi-core systems , 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).
[3] Paolo Faraboschi,et al. Embedded Computing: A VLIW Approach to Architecture, Compilers and Tools , 2004 .
[4] Geoffrey Brown,et al. Lx: a technology platform for customizable VLIW embedded processing , 2000, ISCA '00.
[5] Frank Vahid,et al. A highly configurable cache for low energy embedded systems , 2005, TECS.
[6] Stamatis Vassiliadis,et al. The TM3270 media-processor , 2005, 38th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'05).
[7] Stephan Wong,et al. Support for dynamic issue width in VLIW processors using generic binaries , 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[8] Harsh Sharangpani,et al. Itanium Processor Microarchitecture , 2000, IEEE Micro.
[9] Atsuhiro Suga,et al. Introducing the FR500 Embedded Microprocessor , 2000, IEEE Micro.
[10] Sumedh W. Sathaye,et al. Instruction fetch mechanisms for VLIW architectures with compressed encodings , 1996, Proceedings of the 29th Annual IEEE/ACM International Symposium on Microarchitecture. MICRO 29.
[11] Jung Ho Ahn,et al. A Comprehensive Memory Modeling Tool and Its Application to the Design and Analysis of Future Memory Hierarchies , 2008, 2008 International Symposium on Computer Architecture.
[12] Gul A. Agha,et al. Towards optimizing energy costs of algorithms for shared memory architectures , 2010, SPAA '10.
[13] Marc Tremblay,et al. The MAJC Architecture: A Synthesis of Parallelism and Scalability , 2000, IEEE Micro.
[14] Kannappan Palaniappan,et al. Performance evaluation for a compressed-VLIW processor , 2002, SAC '02.