Optimal Power Efficient Photonic SWMR Buses

In this paper we solve the problem of minimizingthe power consumption in SWMR (single writer, multi reader)optical buses for both the ring and tree based configurations. Tominimize the power consumption it is necessary to design thebeam splitters in a SWMR bus optimally. A prior approach byBinzhang et al. [5] proposes to use an exponential time NLPbased solution for solving a related problem, and there are otherapproaches for solving this problem sub-optimally. In comparisonwe propose an optimal linear time algorithm for both ring andtree based buses. Additionally, we take into account the factthat the power loss in a splitter is dependent on the split ratio.Subsequently, we propose a hardware version of our algorithmthat takes 4 cycles for a 64-station ring, and 32 cycles for a 64-station balanced tree to compute an optimal configuration. Withthe help of exhaustive Synopsys RSoft simulations, we show thatour SWMR bus is 87% more power efficient than a popularlyused alternative approach proposed by Kurian et al. [9]. Forlarge number of stations, an optimally designed tree based busis a further 25% more power efficient than a ring.

[1]  S. Shi,et al.  Implementations of optical vias in high-density photonic crystal optical networks , 2003 .

[2]  Li Zhou,et al.  PROBE: Prediction-based optical bandwidth scaling for energy-efficient NoCs , 2013, 2013 Seventh IEEE/ACM International Symposium on Networks-on-Chip (NoCS).

[3]  Jung Ho Ahn,et al.  Corona: System Implications of Emerging Nanophotonic Technology , 2008, 2008 International Symposium on Computer Architecture.

[4]  Graham T. Reed,et al.  Silicon Photonics: The State of the Art , 2008 .

[5]  Yu Zhang,et al.  Firefly: illuminating future network-on-chip with nanophotonics , 2009, ISCA '09.

[6]  Mikko H. Lipasti,et al.  Light speed arbitration and flow control for nanophotonic interconnects , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[7]  Michael J. Flynn,et al.  Reducing division latency with reciprocal caches , 1996, Reliab. Comput..

[8]  Smruti R. Sarangi,et al.  OptiKit : An Open Source Kit for Simulation of On-Chip Optical Components , 2013 .

[9]  Chao Chen,et al.  Runtime Management of Laser Power in Silicon-Photonic Multibus NoC Architecture , 2013, IEEE Journal of Selected Topics in Quantum Electronics.

[10]  Keren Bergman,et al.  Photonic network-on-chip architectures using multilayer deposited silicon materials for high-performance chip multiprocessors , 2011, JETC.

[11]  Huawei Li,et al.  Accelerating Lightpath setup via broadcasting in binary-tree waveguide in Optical NoCs , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

[12]  Ian O'Connor,et al.  Optical solutions for system-level interconnect , 2004, SLIP '04.

[13]  Kevin Skadron,et al.  Scaling with Design Constraints: Predicting the Future of Big Chips , 2011, IEEE Micro.

[14]  N. Binkert,et al.  Atomic Coherence: Leveraging nanophotonics to build race-free cache coherence protocols , 2011, 2011 IEEE 17th International Symposium on High Performance Computer Architecture.

[15]  Hui Chen,et al.  On-Chip Optical Interconnect Roadmap: Challenges and Critical Directions , 2005, IEEE Journal of Selected Topics in Quantum Electronics.

[16]  Avinash Karanth Kodi,et al.  Extending the Performance and Energy-Efficiency of Shared Memory Multicores with Nanophotonic Technology , 2014, IEEE Transactions on Parallel and Distributed Systems.

[17]  BergmanKeren,et al.  Photonic network-on-chip architectures using multilayer deposited silicon materials for high-performance chip multiprocessors , 2011 .

[18]  Mitsumasa Koyanagi,et al.  Through Silicon photonic via (TSPV) with Si core for low loss and high-speed data transmission in opto-electronic 3-D LSI , 2010, 2010 IEEE International 3D Systems Integration Conference (3DIC).

[19]  Ajay Joshi,et al.  Sharing and placement of on-chip laser sources in silicon-photonic NoCs , 2014, 2014 Eighth IEEE/ACM International Symposium on Networks-on-Chip (NoCS).

[20]  George N. Rouskas Optical layer multicast: rationale, building blocks, and challenges , 2003 .

[21]  John Kim,et al.  FlexiShare: Channel sharing for an energy-efficient nanophotonic crossbar , 2010, HPCA - 16 2010 The Sixteenth International Symposium on High-Performance Computer Architecture.

[22]  Alyssa B. Apsel,et al.  Leveraging Optical Technology in Future Bus-based Chip Multiprocessors , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).

[23]  George Kurian,et al.  ATAC: A 1000-core cache-coherent processor with on-chip optical network , 2010, 2010 19th International Conference on Parallel Architectures and Compilation Techniques (PACT).